文件名称:zynq_xadc3

  • 所属分类:
  • 嵌入式/单片机编程
  • 资源属性:
  • 上传时间:
  • 2018-04-19
  • 文件大小:
  • 35.48mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • cuop*****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

采集外部设备的电压值,用FPGA内部自带的XADC(Collect the voltage value of the external device and use the internal XADC of the FPGA)
(系统自动生成,下载前可以参看下载内容)

下载文件列表

文件名大小更新时间
zynq_xadc3\xadc_wiz_0_ex\imports\design.txt 667 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\imports\xadc_wiz_0_exdes.v 7083 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\imports\xadc_wiz_0_exdes.xdc 2855 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\imports\xadc_wiz_0_tb.v 10726 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\min_area_pfile.tmp 79 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\vivado.jou 156606 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\vivado.log 232394 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\3f839877dd05cb99\3f839877dd05cb99.xci 398613 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\3f839877dd05cb99\ila_0.dcp 608417 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\3f839877dd05cb99\ila_0_sim_netlist.v 1478581 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\3f839877dd05cb99\ila_0_sim_netlist.vhdl 3295888 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\3f839877dd05cb99\ila_0_stub.v 1268 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\3f839877dd05cb99\ila_0_stub.vhdl 1382 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\3f839877dd05cb99.logs\runme.log 5285 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\a18d9e1eb5766282\a18d9e1eb5766282.xci 7366 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\a18d9e1eb5766282\dbg_hub_CV.dcp 340994 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\d6c7e254e2161f5a\clk_wiz_0.dcp 7078 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\d6c7e254e2161f5a\clk_wiz_0_sim_netlist.v 6935 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\d6c7e254e2161f5a\clk_wiz_0_sim_netlist.vhdl 6643 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\d6c7e254e2161f5a\clk_wiz_0_stub.v 1275 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\d6c7e254e2161f5a\clk_wiz_0_stub.vhdl 1307 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\d6c7e254e2161f5a\d6c7e254e2161f5a.xci 38453 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\d6c7e254e2161f5a.logs\runme.log 4329 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\fbdeb277fb275070\fbdeb277fb275070.xci 105422 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\fbdeb277fb275070\vio.dcp 133756 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\fbdeb277fb275070\vio_sim_netlist.v 335581 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\fbdeb277fb275070\vio_sim_netlist.vhdl 644930 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\fbdeb277fb275070\vio_stub.v 1501 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\fbdeb277fb275070\vio_stub.vhdl 1659 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\ip\2017.3\fbdeb277fb275070.logs\runme.log 3529 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\wt\gui_handlers.wdf 5979 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\wt\java_command_handlers.wdf 2819 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\wt\project.wpc 121 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\wt\synthesis.wdf 5406 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\wt\synthesis_details.wdf 100 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.cache\wt\webtalk_pa.xml 6459 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.hw\backup\hw_ila_data_1.ila 27438 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.hw\hw_1\hw.xml 14098 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.hw\hw_1\wave\hw_ila_data_1\hw_ila_data_1.wcfg 11898 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.hw\hw_1\wave\hw_ila_data_1\hw_ila_data_1.wdb 4857 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.hw\xadc_wiz_0_ex.lpr 343 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\ip\clk_wiz_0\clk_wiz_0.veo 3609 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\ip\clk_wiz_0\clk_wiz_0_stub.v 1211 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\ip\clk_wiz_0\clk_wiz_0_stub.vhdl 1179 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\ip\ila_0\ila_0.veo 2843 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\ip\ila_0\ila_0_stub.v 1196 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\ip\ila_0\ila_0_stub.vhdl 1238 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\ip\vio\vio.veo 3173 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\ip\vio\vio.vho 3451 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\ip\vio\vio_stub.v 1425 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\ip\vio\vio_stub.vhdl 1507 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\ip\xadc_wiz_0\xadc_wiz_0.veo 3663 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\ip\xadc_wiz_0\xadc_wiz_0.vho 3905 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\mem_init_files\design.txt 667 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\README.txt 130 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\activehdl\clk_wiz_0.sh 4966 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\activehdl\clk_wiz_0.udo 0 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\activehdl\compile.do 686 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\activehdl\file_info.txt 652 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\activehdl\glbl.v 1474 2017-10-04
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\activehdl\README.txt 2181 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\activehdl\simulate.do 306 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\activehdl\wave.do 32 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\ies\clk_wiz_0.sh 5723 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\ies\file_info.txt 688 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\ies\glbl.v 1474 2017-10-04
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\ies\README.txt 2122 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\ies\run.f 466 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\modelsim\clk_wiz_0.sh 5180 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\modelsim\clk_wiz_0.udo 0 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\modelsim\compile.do 751 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\modelsim\file_info.txt 652 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\modelsim\glbl.v 1474 2017-10-04
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\modelsim\README.txt 2181 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\modelsim\simulate.do 311 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\modelsim\wave.do 32 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\questa\clk_wiz_0.sh 5293 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\questa\clk_wiz_0.udo 0 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\questa\compile.do 727 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\questa\elaborate.do 183 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\questa\file_info.txt 652 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\questa\glbl.v 1474 2017-10-04
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\questa\README.txt 2181 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\questa\simulate.do 195 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\questa\wave.do 32 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\README.txt 3236 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\riviera\clk_wiz_0.sh 4965 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\riviera\clk_wiz_0.udo 0 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\riviera\compile.do 676 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\riviera\file_info.txt 652 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\riviera\glbl.v 1474 2017-10-04
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\riviera\README.txt 2181 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\riviera\simulate.do 306 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\riviera\wave.do 32 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\vcs\clk_wiz_0.sh 7025 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\vcs\file_info.txt 688 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\vcs\glbl.v 1474 2017-10-04
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\vcs\README.txt 2181 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\vcs\simulate.do 11 2018-04-03
zynq_xadc3\xadc_wiz_0_ex\xadc_wiz_0_ex.ip_user_files\sim_scripts\clk_wiz_0\xsim\clk_wiz_0.sh 6358 2018-04-03

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org