文件名称:XADC

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • 上传时间:
  • 2018-04-13
  • 文件大小:
  • 775kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • amz***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

xilinx verilog FPGA驱动AD9613 数据采集DEMO程序(Xilinx Verilog FPGA drives AD9613 data acquisition DEMO program.)
相关搜索: fpga
verilog
adc
ad9613

(系统自动生成,下载前可以参看下载内容)

下载文件列表

文件名大小更新时间
XADC\XADC\XADC.runs\.jobs\vrs_config_1.xml 401 2015-11-28
XADC\XADC\XADC.runs\.jobs\vrs_config_10.xml 443 2016-03-31
XADC\XADC\XADC.runs\.jobs\vrs_config_11.xml 443 2016-03-31
XADC\XADC\XADC.runs\.jobs\vrs_config_12.xml 443 2016-03-31
XADC\XADC\XADC.runs\.jobs\vrs_config_13.xml 443 2016-04-11
XADC\XADC\XADC.runs\.jobs\vrs_config_14.xml 443 2016-04-11
XADC\XADC\XADC.runs\.jobs\vrs_config_15.xml 443 2016-04-11
XADC\XADC\XADC.runs\.jobs\vrs_config_16.xml 443 2016-04-11
XADC\XADC\XADC.runs\.jobs\vrs_config_2.xml 401 2015-11-28
XADC\XADC\XADC.runs\.jobs\vrs_config_3.xml 401 2015-11-28
XADC\XADC\XADC.runs\.jobs\vrs_config_4.xml 401 2015-11-28
XADC\XADC\XADC.runs\.jobs\vrs_config_5.xml 401 2015-11-29
XADC\XADC\XADC.runs\.jobs\vrs_config_6.xml 401 2015-11-29
XADC\XADC\XADC.runs\.jobs\vrs_config_7.xml 443 2016-03-31
XADC\XADC\XADC.runs\.jobs\vrs_config_8.xml 443 2016-03-31
XADC\XADC\XADC.runs\.jobs\vrs_config_9.xml 249 2016-03-31
XADC\XADC\XADC.runs\impl_1\.init_design.begin.rst 189 2016-04-11
XADC\XADC\XADC.runs\impl_1\.init_design.end.rst 0 2016-04-11
XADC\XADC\XADC.runs\impl_1\.opt_design.begin.rst 189 2016-04-11
XADC\XADC\XADC.runs\impl_1\.opt_design.end.rst 0 2016-04-11
XADC\XADC\XADC.runs\impl_1\.place_design.begin.rst 189 2016-04-11
XADC\XADC\XADC.runs\impl_1\.place_design.end.rst 0 2016-04-11
XADC\XADC\XADC.runs\impl_1\.route_design.begin.rst 189 2016-04-11
XADC\XADC\XADC.runs\impl_1\.route_design.end.rst 0 2016-04-11
XADC\XADC\XADC.runs\impl_1\.vivado.begin.rst 188 2016-04-11
XADC\XADC\XADC.runs\impl_1\.vivado.end.rst 0 2016-04-11
XADC\XADC\XADC.runs\impl_1\.Vivado_Implementation.queue.rst 0 2016-04-11
XADC\XADC\XADC.runs\impl_1\.write_bitstream.begin.rst 189 2016-04-11
XADC\XADC\XADC.runs\impl_1\.write_bitstream.end.rst 0 2016-04-11
XADC\XADC\XADC.runs\impl_1\gen_run.xml 6552 2016-04-11
XADC\XADC\XADC.runs\impl_1\htr.txt 401 2016-04-11
XADC\XADC\XADC.runs\impl_1\init_design.pb 3746 2016-04-11
XADC\XADC\XADC.runs\impl_1\ISEWrap.js 4766 2016-04-11
XADC\XADC\XADC.runs\impl_1\ISEWrap.sh 1622 2016-04-11
XADC\XADC\XADC.runs\impl_1\opt_design.pb 5046 2016-04-11
XADC\XADC\XADC.runs\impl_1\place_design.pb 16780 2016-04-11
XADC\XADC\XADC.runs\impl_1\project.wdf 1838 2016-04-11
XADC\XADC\XADC.runs\impl_1\route_design.pb 10400 2016-04-11
XADC\XADC\XADC.runs\impl_1\rundef.js 1394 2016-04-11
XADC\XADC\XADC.runs\impl_1\runme.bat 229 2016-04-11
XADC\XADC\XADC.runs\impl_1\runme.log 22940 2016-04-11
XADC\XADC\XADC.runs\impl_1\runme.sh 1216 2016-04-11
XADC\XADC\XADC.runs\impl_1\vivado.jou 544 2016-04-11
XADC\XADC\XADC.runs\impl_1\vivado.pb 129 2016-04-11
XADC\XADC\XADC.runs\impl_1\vivado_6704.backup.jou 544 2016-03-31
XADC\XADC\XADC.runs\impl_1\write_bitstream.pb 2659 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top.bit 3825901 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top.mcs 10761048 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top.tcl 5485 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top.vdi 22600 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_clock_utilization_routed.rpt 10229 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_control_sets_placed.rpt 4971 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_drc_opted.rpt 1642 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_drc_routed.pb 37 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_drc_routed.rpt 1642 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_io_placed.rpt 117653 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_opt.dcp 159214 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_placed.dcp 186105 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_power_routed.rpt 8631 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_power_summary_routed.pb 676 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_routed.dcp 207765 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_route_status.pb 44 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_route_status.rpt 651 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_timing_summary_routed.rpt 122910 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_timing_summary_routed.rpx 94282 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_utilization_placed.pb 231 2016-04-11
XADC\XADC\XADC.runs\impl_1\xadc_uart_tx_top_utilization_placed.rpt 10047 2016-04-11
XADC\XADC\XADC.runs\synth_1\.vivado.begin.rst 188 2016-04-11
XADC\XADC\XADC.runs\synth_1\.vivado.end.rst 0 2016-04-11
XADC\XADC\XADC.runs\synth_1\.Vivado_Synthesis.queue.rst 0 2016-04-11
XADC\XADC\XADC.runs\synth_1\dont_touch.xdc 429 2016-04-11
XADC\XADC\XADC.runs\synth_1\fsm_encoding.os 498 2016-04-11
XADC\XADC\XADC.runs\synth_1\gen_run.xml 3587 2016-04-11
XADC\XADC\XADC.runs\synth_1\htr.txt 393 2016-04-11
XADC\XADC\XADC.runs\synth_1\ISEWrap.js 4766 2016-04-11
XADC\XADC\XADC.runs\synth_1\ISEWrap.sh 1622 2016-04-11
XADC\XADC\XADC.runs\synth_1\rundef.js 1323 2016-04-11
XADC\XADC\XADC.runs\synth_1\runme.bat 229 2016-04-11
XADC\XADC\XADC.runs\synth_1\runme.log 35219 2016-04-11
XADC\XADC\XADC.runs\synth_1\runme.sh 1152 2016-04-11
XADC\XADC\XADC.runs\synth_1\vivado.jou 546 2016-04-11
XADC\XADC\XADC.runs\synth_1\vivado.pb 58587 2016-04-11
XADC\XADC\XADC.runs\synth_1\vivado_6472.backup.jou 504 2015-11-29
XADC\XADC\XADC.runs\synth_1\xadc_uart_tx_top.dcp 46017 2016-04-11
XADC\XADC\XADC.runs\synth_1\xadc_uart_tx_top.tcl 2763 2016-04-11
XADC\XADC\XADC.runs\synth_1\xadc_uart_tx_top.vds 34841 2016-04-11
XADC\XADC\XADC.runs\synth_1\xadc_uart_tx_top_6472.backup.vds 26469 2015-11-29
XADC\XADC\XADC.runs\synth_1\xadc_uart_tx_top_utilization_synth.pb 231 2016-04-11
XADC\XADC\XADC.runs\synth_1\xadc_uart_tx_top_utilization_synth.rpt 7513 2016-04-11
XADC\XADC\XADC.xpr 6131 2016-04-11
XADC\XADC\XADC_srcs\constrs_1\new\ug480.xdc 904 2015-11-23
XADC\XADC\XADC_srcs\sources_1\ip\clk_wiz_0\clk_wiz_0.dcp 9993 2015-11-23
XADC\XADC\XADC_srcs\sources_1\ip\clk_wiz_0\clk_wiz_0.v 3817 2015-11-23
XADC\XADC\XADC_srcs\sources_1\ip\clk_wiz_0\clk_wiz_0.veo 3529 2015-11-23
XADC\XADC\XADC_srcs\sources_1\ip\clk_wiz_0\clk_wiz_0.xci 68379 2015-11-23
XADC\XADC\XADC_srcs\sources_1\ip\clk_wiz_0\clk_wiz_0.xdc 2643 2015-11-23
XADC\XADC\XADC_srcs\sources_1\ip\clk_wiz_0\clk_wiz_0.xml 297288 2015-11-23
XADC\XADC\XADC_srcs\sources_1\ip\clk_wiz_0\clk_wiz_0_board.xdc 60 2015-11-23
XADC\XADC\XADC_srcs\sources_1\ip\clk_wiz_0\clk_wiz_0_clk_wiz.v 6344 2015-11-23
XADC\XADC\XADC_srcs\sources_1\ip\clk_wiz_0\clk_wiz_0_funcsim.v 7573 2015-11-23

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org