文件名称:spi_master

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • 上传时间:
  • 2018-01-08
  • 文件大小:
  • 3.23mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 小**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

SPI通信:串行flash的读写擦除命令通过SPI接口进行通信。?
CPU芯片与FPGA通过SPI接口进行通信。?
其他功能集成电路芯片参数寄存器配置。例如DAC芯片内部有很多寄存器(因为芯片有很多功能,要通过设置寄存器不同的开关来打开或关闭相应的功能,一上电去初始化寄存器)需要我们去配置。FPGA一上电也是通过配置芯片里边来读取数据,然后配置FPGA内部的SRAM。FPGA是读取FLASH里边的串行数据,读取完校验完才配置到我们的FPGA的SRAM中去。速度比串口快,而且是同步传输。(The read and write erasure commands of the serial flash communicate through the SPI interface.
The CPU chip communicates with the FPGA through the SPI interface.
Other functional integrated circuit chip parameters register configuration.
For example,there are many registers in the DAC chip (because the chip has many functions. We need to configure it by setting up different registers to open or close the corresponding functions, and initializing registers on the battery. FPGA also reads the data by configuring the chip, and configures the SRAM inside the FPGA. FPGA is a serial FLASH read the data inside,read check after all the configuration to the FPGA SRAM to).
The speed is faster than the serial port, and it is synchronous transmission.)
相关搜索: verilog
spi

(系统自动生成,下载前可以参看下载内容)

下载文件列表

文件名大小更新时间
spi_master 0 2018-01-08
spi_master\doc 0 2018-01-08
spi_master\doc\SPI接口应用.docx 268562 2017-10-13
spi_master\doc\~$PI接口应用.docx 162 2018-01-08
spi_master\img 0 2018-01-08
spi_master\prj 0 2018-01-08
spi_master\prj\db 0 2018-01-08
spi_master\prj\db\altsyncram_9vb1.tdf 16244 2017-10-11
spi_master\prj\db\altsyncram_gmk1.tdf 21487 2017-10-11
spi_master\prj\db\logic_util_heursitic.dat 0 2017-10-12
spi_master\prj\db\prev_cmp_spi.qmsg 12288 2017-10-12
spi_master\prj\db\spi.(0).cnf.cdb 8665 2017-10-12
spi_master\prj\db\spi.(0).cnf.hdb 1810 2017-10-12
spi_master\prj\db\spi.(1).cnf.cdb 2113 2017-10-11
spi_master\prj\db\spi.(1).cnf.hdb 1179 2017-10-11
spi_master\prj\db\spi.(2).cnf.cdb 1925 2017-10-11
spi_master\prj\db\spi.(2).cnf.hdb 814 2017-10-11
spi_master\prj\db\spi.(3).cnf.cdb 2198 2017-10-11
spi_master\prj\db\spi.(3).cnf.hdb 857 2017-10-11
spi_master\prj\db\spi.(4).cnf.cdb 1630 2017-10-11
spi_master\prj\db\spi.(4).cnf.hdb 801 2017-10-11
spi_master\prj\db\spi.(5).cnf.cdb 2062 2017-10-11
spi_master\prj\db\spi.(5).cnf.hdb 790 2017-10-11
spi_master\prj\db\spi.cbx.xml 212 2017-10-12
spi_master\prj\db\spi.cmp.rdb 8189 2017-10-12
spi_master\prj\db\spi.cmp_merge.kpt 219 2017-10-12
spi_master\prj\db\spi.db_info 155 2017-10-13
spi_master\prj\db\spi.hier_info 11084 2017-10-12
spi_master\prj\db\spi.hif 2019 2017-10-12
spi_master\prj\db\spi.ipinfo 328 2017-10-13
spi_master\prj\db\spi.lpc.html 823 2017-10-12
spi_master\prj\db\spi.lpc.rdb 519 2017-10-12
spi_master\prj\db\spi.lpc.txt 1976 2017-10-12
spi_master\prj\db\spi.map.ammdb 138 2017-10-12
spi_master\prj\db\spi.map.bpm 623 2017-10-12
spi_master\prj\db\spi.map.cdb 8793 2017-10-12
spi_master\prj\db\spi.map.hdb 14808 2017-10-12
spi_master\prj\db\spi.map.kpt 1752 2017-10-12
spi_master\prj\db\spi.map.logdb 4 2017-10-12
spi_master\prj\db\spi.map.qmsg 12288 2017-10-12
spi_master\prj\db\spi.map.rdb 1257 2017-10-12
spi_master\prj\db\spi.map_bb.cdb 1799 2017-10-12
spi_master\prj\db\spi.map_bb.hdb 10317 2017-10-12
spi_master\prj\db\spi.map_bb.logdb 4 2017-10-12
spi_master\prj\db\spi.pre_map.hdb 16387 2017-10-12
spi_master\prj\db\spi.pti_db_list.ddb 192 2017-10-12
spi_master\prj\db\spi.root_partition.map.reg_db.cdb 209 2017-10-12
spi_master\prj\db\spi.rpp.qmsg 2141 2017-10-12
spi_master\prj\db\spi.rtlv.hdb 15732 2017-10-12
spi_master\prj\db\spi.rtlv_sg.cdb 14292 2017-10-12
spi_master\prj\db\spi.rtlv_sg_swap.cdb 2057 2017-10-12
spi_master\prj\db\spi.sgate.rvd 9464 2017-10-12
spi_master\prj\db\spi.sgate_sm.rvd 3086 2017-10-12
spi_master\prj\db\spi.sgdiff.cdb 8744 2017-10-12
spi_master\prj\db\spi.sgdiff.hdb 14608 2017-10-12
spi_master\prj\db\spi.sld_design_entry.sci 217 2017-10-13
spi_master\prj\db\spi.sld_design_entry_dsc.sci 217 2017-10-12
spi_master\prj\db\spi.smart_action.txt 5 2017-10-12
spi_master\prj\db\spi.smp_dump.txt 211 2017-10-12
spi_master\prj\db\spi.syn_hier_info 0 2017-10-12
spi_master\prj\db\spi.tis_db_list.ddb 192 2017-10-12
spi_master\prj\db\spi.tmw_info 58 2017-10-13
spi_master\prj\greybox_tmp 0 2018-01-08
spi_master\prj\greybox_tmp\cbx_args.txt 322 2017-10-12
spi_master\prj\incremental_db 0 2018-01-08
spi_master\prj\incremental_db\README 653 2017-10-11
spi_master\prj\incremental_db\compiled_partitions 0 2018-01-08
spi_master\prj\incremental_db\compiled_partitions\spi.db_info 155 2017-10-11
spi_master\prj\incremental_db\compiled_partitions\spi.root_partition.map.cdb 8769 2017-10-12
spi_master\prj\incremental_db\compiled_partitions\spi.root_partition.map.dpi 2100 2017-10-12
spi_master\prj\incremental_db\compiled_partitions\spi.root_partition.map.hbdb.cdb 1305 2017-10-12
spi_master\prj\incremental_db\compiled_partitions\spi.root_partition.map.hbdb.hb_info 46 2017-10-12
spi_master\prj\incremental_db\compiled_partitions\spi.root_partition.map.hbdb.hdb 14450 2017-10-12
spi_master\prj\incremental_db\compiled_partitions\spi.root_partition.map.hbdb.sig 32 2017-10-12
spi_master\prj\incremental_db\compiled_partitions\spi.root_partition.map.hdb 15165 2017-10-12
spi_master\prj\incremental_db\compiled_partitions\spi.root_partition.map.kpt 1772 2017-10-12
spi_master\prj\ip 0 2018-01-08
spi_master\prj\ip\greybox_tmp 0 2018-01-08
spi_master\prj\ip\greybox_tmp\cbx_args.txt 324 2017-10-11
spi_master\prj\ip\ram.mif 1849 2017-10-11
spi_master\prj\ip\ram_spi.qip 282 2017-10-11
spi_master\prj\ip\ram_spi.v 7166 2017-10-11
spi_master\prj\ip\ram_spi_bb.v 5720 2017-10-11
spi_master\prj\output_files 0 2018-01-08
spi_master\prj\output_files\spi.done 26 2017-10-12
spi_master\prj\output_files\spi.flow.rpt 7555 2017-10-12
spi_master\prj\output_files\spi.map.rpt 41365 2017-10-12
spi_master\prj\output_files\spi.map.smsg 203 2017-10-11
spi_master\prj\output_files\spi.map.summary 323 2017-10-12
spi_master\prj\ram_spi.qip 0 2017-10-12
spi_master\prj\simulation 0 2018-01-08
spi_master\prj\simulation\modelsim 0 2018-01-08
spi_master\prj\simulation\modelsim\modelsim.ini 90363 2017-10-12
spi_master\prj\simulation\modelsim\msim_transcript 29691 2017-10-12
spi_master\prj\simulation\modelsim\ram.mif 1849 2017-10-11
spi_master\prj\simulation\modelsim\ram.ver 336 2017-10-12
spi_master\prj\simulation\modelsim\rtl_work 0 2018-01-08
spi_master\prj\simulation\modelsim\rtl_work\@_opt 0 2018-01-08
spi_master\prj\simulation\modelsim\rtl_work\@_opt\_lib.qdb 49152 2017-10-12
spi_master\prj\simulation\modelsim\rtl_work\@_opt\_lib1_0.qdb 32768 2017-10-12

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org