文件名称:gpio_mio

  • 所属分类:
  • 驱动编程
  • 资源属性:
  • [Linux] [SHELL] [源码]
  • 上传时间:
  • 2017-04-06
  • 文件大小:
  • 4.41mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 徐*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

ZYNQ 的gpio 可以通过MIO 引出到PS 端的引脚,本例子

的gpio 通过MIO 引出,控制LED 灯D29。-ZYNQ GPIO can be drawn to the PS through the MIO pin, this example      GPIO led by MIO, control LED lamp D29.
(系统自动生成,下载前可以参看下载内容)

下载文件列表





gpio_mio\gpio_mio.cache\wt\java_command_handlers.wdf

........\..............\..\synthesis.wdf

........\..............\..\synthesis_details.wdf

........\..............\..\webtalk_pa.xml

........\.........runs\.jobs\vrs_config_1.xml

........\.............\.....\vrs_config_2.xml

........\.............\impl_1\.init_design.begin.rst

........\.............\......\.init_design.end.rst

........\.............\......\.opt_design.begin.rst

........\.............\......\.opt_design.end.rst

........\.............\......\.place_design.begin.rst

........\.............\......\.place_design.end.rst

........\.............\......\.route_design.begin.rst

........\.............\......\.route_design.end.rst

........\.............\......\.vivado.begin.rst

........\.............\......\.vivado.end.rst

........\.............\......\.Vivado_Implementation.queue.rst

........\.............\......\.write_bitstream.begin.rst

........\.............\......\.write_bitstream.end.rst

........\.............\......\design_1_wrapper.bit

........\.............\......\design_1_wrapper.sysdef

........\.............\......\design_1_wrapper.tcl

........\.............\......\design_1_wrapper.vdi

........\.............\......\design_1_wrapper_clock_utilization_placed.rpt

........\.............\......\design_1_wrapper_control_sets_placed.rpt

........\.............\......\design_1_wrapper_drc_opted.rpt

........\.............\......\design_1_wrapper_drc_routed.pb

........\.............\......\design_1_wrapper_drc_routed.rpt

........\.............\......\design_1_wrapper_io_placed.rpt

........\.............\......\design_1_wrapper_opt.dcp

........\.............\......\design_1_wrapper_placed.dcp

........\.............\......\design_1_wrapper_power_routed.rpt

........\.............\......\design_1_wrapper_power_summary_routed.pb

........\.............\......\design_1_wrapper_routed.dcp

........\.............\......\design_1_wrapper_route_status.pb

........\.............\......\design_1_wrapper_route_status.rpt

........\.............\......\design_1_wrapper_timing_summary_routed.rpt

........\.............\......\design_1_wrapper_timing_summary_routed.rpx

........\.............\......\design_1_wrapper_utilization_placed.pb

........\.............\......\design_1_wrapper_utilization_placed.rpt

........\.............\......\gen_run.xml

........\.............\......\htr.txt

........\.............\......\init_design.pb

........\.............\......\ISEWrap.js

........\.............\......\ISEWrap.sh

........\.............\......\opt_design.pb

........\.............\......\place_design.pb

........\.............\......\project.wdf

........\.............\......\route_design.pb

........\.............\......\rundef.js

........\.............\......\runme.bat

........\.............\......\runme.log

........\.............\......\runme.sh

........\.............\......\vivado.jou

........\.............\......\vivado.pb

........\.............\......\write_bitstream.pb

........\.............\synth_1\.vivado.begin.rst

........\.............\.......\.vivado.end.rst

........\.............\.......\.Vivado_Synthesis.queue.rst

........\.............\.......\.Xil\design_1_wrapper_propImpl.xdc

........\.............\.......\design_1_wrapper.dcp

........\.............\.......\design_1_wrapper.hwdef

........\.............\.......\design_1_wrapper.tcl

........\.............\.......\design_1_wrapper.vds

........\.............\.......\design_1_wrapper_utilization_synth.pb

........\.............\.......\design_1_wrapper_utilization_synth.rpt

........\.............\.......\dont_touch.xdc

........\.............\.......\gen_run.xml

........\.............\.......\htr.txt

........\.............\.......\ISEWrap.js

........\.............\.......\ISEWrap.sh

........\.............\.......\rundef.js

........\.............\.......\runme.bat

........\.............\.......\runme.log

........\.............\.......\runme.sh

........\.............\.......\vivado.jou

........\.............\.......\vivado.pb

........\.........sdk\.metadata\.lock

........\............\.........\.log

........\............\.........\.p

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org