文件名称:LG

  • 所属分类:
  • 微处理器(ARM/PowerPC等)
  • 资源属性:
  • [C/C++] [源码]
  • 上传时间:
  • 2016-04-06
  • 文件大小:
  • 1.13mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • a***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

LG变频器程序,代码,变频器代码仅供学习参考使用-LG inverter program code, only to learn the code converter for reference
(系统自动生成,下载前可以参看下载内容)

下载文件列表





LG变频器程序

............\SViGX_C22D663

............\.............\DSP56F80x.H

............\.............\Def.H

............\.............\Def.H.bak

............\.............\IO.H

............\.............\IO.H.bak

............\.............\IO.c

............\.............\IO.c.bak

............\.............\Init.c

............\.............\KPD_Core.H

............\.............\Kpd_Tbl.C

............\.............\Kpd_Tbl.H

............\.............\Kpd_TblR.C

............\.............\Kpd_TblR.H

............\.............\ModProt.C

............\.............\ModProt.h

............\.............\RS_PARA.H

............\.............\RS_PROT.C

............\.............\RS_PROT.H

............\.............\Rs_para.c

............\.............\Rs_para.c.bak

............\.............\SViG5A(22kW)_NV.mcp

............\.............\SViG5A(22kW)_NV.old_Data

............\.............\........................\CWSettingsWindows.stg

............\.............\........................\build_all

............\.............\........................\.........\TargetDataWindows.tdt

............\.............\........................\external_memory

............\.............\........................\...............\ObjectCode

............\.............\........................\...............\TargetDataWindows.tdt

............\.............\........................\internal_memory_with_xROM-to-xRAM_copy

............\.............\........................\......................................\ObjectCode

............\.............\........................\......................................\TargetDataWindows.tdt

............\.............\SViG5A(22kW)_NV_Data

............\.............\....................\CWSettingsWindows.stg

............\.............\....................\build_all

............\.............\....................\.........\TargetDataWindows.tdt

............\.............\....................\external_memory

............\.............\....................\...............\ObjectCode

............\.............\....................\...............\TargetDataWindows.tdt

............\.............\....................\internal_memory_with_xROM-to-xRAM_copy

............\.............\....................\......................................\ObjectCode

............\.............\....................\......................................\TargetDataWindows.tdt

............\.............\SViG5A(22kW)_NV_烹钦.prj

............\.............\Util.C

............\.............\Util.C.bak

............\.............\Vari.C

............\.............\Vari.H

............\.............\Vari.H.bak

............\.............\adc.C

............\.............\adc.C.bak

............\.............\adc.H

............\.............\adc.H.bak

............\.............\appconst.H

............\.............\appconst.c

............\.............\cmd.H

............\.............\cmd.c

............\.............\comm.H

............\.............\comm.c

............\.............\freq.H

............\.............\freq.c

............\.............\freq.c.bak

............\.............\init.H

............\.............\interrupt.H

............\.............\interrupt.H.bak

............\.............\interrupt.c.bak

............\.............\kpd.H.bak

............\.............\kpd.c.bak

............\.............\kpd_core.c

............\.............\lcf

............\.............\...\DSP56F807_external_mem_linker.cmd

............\.............\...\DSP56F807_xROM-xRAM_linker.cmd

............\.............\main.H

............\.............\main.c

............\.............\main.c.bak

............\.............\mot.H

............\.............\mot.c.bak

............\.............\output

............\.............\prot.c

............\.............\prot.c.bak

............\.............\prot.h

............\.............\pwm.H

............\.............\pwm.c

............\.............\seg.C

............\.............\seg.H

............\.............\seq.H

............\.............\seq.H.bak

............\.............\seq.c

............\......

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org