文件名称:count

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [HTML]
  • 上传时间:
  • 2016-11-29
  • 文件大小:
  • 464kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • pa***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

本实验利用VHDL 硬件描述语言设计一个0~9999 的加法计数器。根据一定频率的触发

时钟,计数器进行加计数,并利用数码管进行显示,当计数到9999 时,从0 开始重新计数。

SW0 为复位开关。当开关拨至高点平时,计数器归0,当开关拨至低电平时,计数器开始计数。

该电路包括分频电路,计数器电路,二进制转BCD 码电路和数码管显示电路。-This experiment uses VHDL hardware descr iption language to design a 0 ~ 9999 addition counter. According to a certain frequency of the trigger

Clock, the counter counts up, and the use of digital tube display, when the count to 9999, 0 to re-count.

SW0 is the reset switch. When the switch to the high point of ping, the counter to 0, when the switch to low, the counter began to count.

The circuit includes a frequency dividing circuit, a counter circuit, a binary-to-BCD code circuit and a digital tube display circuit.
(系统自动生成,下载前可以参看下载内容)

下载文件列表





count\binbcd14.vhd

.....\clkdiv.vhd

.....\device_usage_statistics.html

.....\mod10Kcnt.ise

.....\mod10Kcnt.ntrc_log

.....\mod10Kcnt.restore

.....\mod10Kcnt.ucf

.....\mod10Kcnt.vhd

.....\mod10kcnt_top.bgn

.....\mod10kcnt_top.bit

.....\mod10Kcnt_top.bld

.....\mod10Kcnt_top.cmd_log

.....\mod10kcnt_top.drc

.....\mod10Kcnt_top.lso

.....\mod10Kcnt_top.ncd

.....\mod10Kcnt_top.ngc

.....\mod10Kcnt_top.ngd

.....\mod10Kcnt_top.ngr

.....\mod10Kcnt_top.pad

.....\mod10Kcnt_top.par

.....\mod10Kcnt_top.pcf

.....\mod10Kcnt_top.prj

.....\mod10Kcnt_top.ptwx

.....\mod10Kcnt_top.stx

.....\mod10Kcnt_top.syr

.....\mod10Kcnt_top.twr

.....\mod10Kcnt_top.twx

.....\mod10Kcnt_top.unroutes

.....\mod10Kcnt_top.ut

.....\mod10Kcnt_top.vhd

.....\mod10Kcnt_top.xpi

.....\mod10Kcnt_top.xst

.....\mod10Kcnt_top_guide.ncd

.....\mod10Kcnt_top_map.map

.....\mod10Kcnt_top_map.mrp

.....\mod10Kcnt_top_map.ncd

.....\mod10Kcnt_top_map.ngm

.....\mod10Kcnt_top_map.xrpt

.....\mod10Kcnt_top_ngdbuild.xrpt

.....\mod10Kcnt_top_pad.csv

.....\mod10Kcnt_top_pad.txt

.....\mod10Kcnt_top_par.xrpt

.....\mod10Kcnt_top_prev_built.ngd

.....\mod10Kcnt_top_summary.html

.....\mod10Kcnt_top_summary.xml

.....\mod10Kcnt_top_usage.xml

.....\mod10Kcnt_top_vhdl.prj

.....\mod10Kcnt_top_xst.xrpt

.....\..........xdb\cst.xbcd

.....\.............\tmp\ise\version

.....\.............\...\...\__OBJSTORE__\HierarchicalDesign\HDProject\HDProject

.....\.............\...\...\............\..................\.........\HDProject_StrTbl

.....\.............\...\...\............\..................\__stored_object_table__

.....\.............\...\...\............\PnAutoRun\Scripts\RunOnce_tcl

.....\.............\...\...\............\.........\.......\RunOnce_tcl_StrTbl

.....\.............\...\...\............\.rojectNavigator\dpm_project_main\dpm_project_main

.....\.............\...\...\............\................\................\dpm_project_main_StrTbl

.....\.............\...\...\............\................\__stored_objects__

.....\.............\...\...\............\................\__stored_objects___StrTbl

.....\.............\...\...\............\................\__stored_object_table__

.....\.............\...\...\............\................Gui\GuiProjectData

.....\.............\...\...\............\...................\GuiProjectData_StrTbl

.....\.............\...\...\............\xreport\Gc_RvReportViewer-Current-Module

.....\.............\...\...\............\.......\Gc_RvReportViewer-Current-Module_StrTbl

.....\.............\...\...\............\.......\Gc_RvReportViewer-Module-Data-mod10Kcnt_top

.....\.............\...\...\............\.......\Gc_RvReportViewer-Module-Data-mod10Kcnt_top_StrTbl

.....\.............\...\...\............\.......\Gc_RvReportViewer-Module-DataFactory-Default

.....\.............\...\...\............\.......\Gc_RvReportViewer-Module-DataFactory-Default_StrTbl

.....\.............\...\...\..REGISTRY__\Autonym\regkeys

.....\.............\...\...\............\bitgen\regkeys

.....\.............\...\...\............\common\regkeys

.....\.............\...\...\............\.pldfit\regkeys

.....\.............\...\...\............\Cs\regkeys

.....\.............\...\...\............\dumpngdio\regkeys

.....\.............\...\...\............\fuse\regkeys

.....\.............\...\...\............\HierarchicalDesign\HDProject\regkeys

.....\.............\...\...\............\..................\regkeys

.....\.............\...\...\............\hprep6\regkeys

.....\.............\...\...\............\idem\regkeys

.....\.............\...\...\............\map\regkeys

.....\.............\...\...\............\netgen\regkeys

.....\.............\...\...\............\.gc2edif\regkeys

.....\.............\...\...\............\...build\regkeys

.....\.............\...\...\............\..dbuild\regkeys

.....\.............\...\...\............\par\regkeys

.....\.............\...\...\............\ProjectNavigator\regkeys

.....\.............\...\...\............\................Gui\regkeys

.....\.............\...\...\............\.......SeedData\ProcessProperties\regkeys

.....\.............\...\...\...

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org