文件名称:eluosi_game

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [C/C++] [源码]
  • 上传时间:
  • 2016-02-18
  • 文件大小:
  • 2.08mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 韩*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

使用Quartus II 9.1完成俄罗斯方块游戏,只要使用有VGA和键盘接口的FPGA开发板就行实现。操作简单,使用的是VHDL和Verilog语言-Use the Quartus II 9.1 to complete the tetris game, as long as you use a VGA and keyboard interface implementation of FPGA development board. The operation is simple, the use of VHDL and the Verilog language
(系统自动生成,下载前可以参看下载内容)

下载文件列表





eluosi_game\11.c

...........\altera_vhdl_support.vhd

...........\boot_rom.hex

...........\boot_rom.vhd

...........\button_isr_test.c

...........\button_pio.vhd

...........\Chain1.cdf

...........\cmp_state.ini

...........\component_builder_logfile.txt

...........\cpu.ocp

...........\cpu.vhd

...........\cpu_jtag_debug_module.vhd

...........\cpu_jtag_debug_module_wrapper.vhd

...........\cpu_ociram_default_contents.mif

...........\cpu_test_bench.vhd

...........\db\add_sub_ovb.tdf

...........\..\altsyncram_1kc1.tdf

...........\..\altsyncram_bhc1.tdf

...........\..\altsyncram_dno1.tdf

...........\..\altsyncram_gpm1.tdf

...........\..\altsyncram_u301.tdf

...........\..\altsyncram_vkt1.tdf

...........\..\alt_synch_pipe_bb3.tdf

...........\..\alt_synch_pipe_cb3.tdf

...........\..\alt_synch_pipe_db3.tdf

...........\..\a_dpfifo_83p.tdf

...........\..\a_fefifo_46d.tdf

...........\..\a_fefifo_7cf.tdf

...........\..\a_fefifo_v5d.tdf

...........\..\a_gray2bin_26b.tdf

...........\..\a_graycounter_626.tdf

...........\..\a_graycounter_726.tdf

...........\..\cntr_9c7.tdf

...........\..\cntr_df8.tdf

...........\..\cntr_i08.tdf

...........\..\cntr_rd8.tdf

...........\..\dcfifo_do01.tdf

...........\..\decode_9ie.tdf

...........\..\decode_imb.tdf

...........\..\decode_tkb.tdf

...........\..\dffpipe_9b3.tdf

...........\..\dffpipe_bb3.tdf

...........\..\dffpipe_cb3.tdf

...........\..\dffpipe_db3.tdf

...........\..\dpram_75p.tdf

...........\..\dpram_jor.tdf

...........\..\elsfk.db_info

...........\..\elsfk.eco.cdb

...........\..\elsfk.sld_design_entry.sci

...........\..\elsfk_cmp.qrpt

...........\..\mux_hic.tdf

...........\..\mux_sgc.tdf

...........\..\scfifo_1to.tdf

...........\delay_reset.bdf

...........\delay_reset.bsf

...........\delay_reset.vhd

...........\dma.vhd

...........\elsfk.asm.rpt

...........\elsfk.bdf

...........\elsfk.cdf

...........\elsfk.done

...........\elsfk.fit.eqn

...........\elsfk.fit.rpt

...........\elsfk.fit.summary

...........\elsfk.flow.rpt

...........\elsfk.map.eqn

...........\elsfk.map.rpt

...........\elsfk.map.summary

...........\elsfk.pin

...........\elsfk.pof

...........\elsfk.qpf

...........\elsfk.qsf

...........\elsfk.qsf.bak

...........\elsfk.qws

...........\elsfk.sof

...........\elsfk.tan.rpt

...........\elsfk.tan.summary

...........\elsfk_assignment_defaults.qdf

...........\FK.c

...........\FK.h

...........\FK1.c

...........\For_UP3.csv

...........\jtag_uart.vhd

...........\lcd.vhd

...........\led_pio.vhd

...........\lpm_counter1.bsf

...........\lpm_counter1.vhd

...........\lpm_counter1_wave0.jpg

...........\lpm_counter1_waveforms.html

...........\Multiplexer_3_Channel_M.v

...........\nios32.bsf

...........\nios32.ptf

...........\nios32.ptf.bak

...........\nios32.v

...........\nios32.vhd

...........\nios32_generation_script

...........\nios32_log.txt

...........\nios32_setup_quartus.tcl

...........\........im\atail-f.pl

...........\..........\dummy_file

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org