文件名称:music_vhdl

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • 上传时间:
  • 2015-04-29
  • 文件大小:
  • 5.34mb
  • 下载次数:
  • 1次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

基于fpga和Quartus II的音乐播放器vhdl程序-Based on Quartus II fpga and vhdl music player program
(系统自动生成,下载前可以参看下载内容)

下载文件列表





毕业设计-基于FPGA的音乐播放器的设计与实现—歌名显示功能\1091000015\1091000015-韩晓亚\music_vhdl\ADDR_ALL.bsf

.......................................................\..........\.................\..........\ADDR_ALL.vhd

.......................................................\..........\.................\..........\ADDR_ALL.vhd.bak

.......................................................\..........\.................\..........\ADDR_pro.bsf

.......................................................\..........\.................\..........\ADDR_pro.vhd

.......................................................\..........\.................\..........\automusic.vhd

.......................................................\..........\.................\..........\automusic.vhd.bak

.......................................................\..........\.................\..........\clk_50_12.bsf

.......................................................\..........\.................\..........\clk_50_12.vhd

.......................................................\..........\.................\..........\clk_50_12.vhd.bak

.......................................................\..........\.................\..........\db\altsyncram_0hq1.tdf

.......................................................\..........\.................\..........\..\altsyncram_a7p3.tdf

.......................................................\..........\.................\..........\..\altsyncram_dg92.tdf

.......................................................\..........\.................\..........\..\altsyncram_g7a1.tdf

.......................................................\..........\.................\..........\..\altsyncram_gda1.tdf

.......................................................\..........\.................\..........\..\altsyncram_gi92.tdf

.......................................................\..........\.................\..........\..\altsyncram_j4a1.tdf

.......................................................\..........\.................\..........\..\altsyncram_k7p3.tdf

.......................................................\..........\.................\..........\..\altsyncram_mgq1.tdf

.......................................................\..........\.................\..........\..\altsyncram_pi92.tdf

.......................................................\..........\.................\..........\..\cntr_44j.tdf

.......................................................\..........\.................\..........\..\cntr_8bi.tdf

.......................................................\..........\.................\..........\..\cntr_bbi.tdf

.......................................................\..........\.................\..........\..\cntr_gbi.tdf

.......................................................\..........\.................\..........\..\cntr_uti.tdf

.......................................................\..........\.................\..........\..\decode_aoi.tdf

.......................................................\..........\.................\..........\..\decode_rqf.tdf

.......................................................\..........\.................\..........\..\music_vhdl.asm.qmsg

.......................................................\..........\.................\..........\..\music_vhdl.asm_labs.ddb

.......................................................\..........\.................\..........\..\music_vhdl.autos_3e92b2e5d298f5cf757a393f7655ccd81.cmp.atm

.......................................................\..........\.................\..........\..\music_vhdl.autos_3e92b2e5d298f5cf757a393f7655ccd81.cmp.logdb

.......................................................\..........\.................\..........\..\music_vhdl.autos_3e92b2e5d298f5cf757a393f7655ccd81.map.atm

.......................................................\..........\.................\..........\..\music_vhdl.autos_3e92b2e5d298f5cf757a393f7655ccd81.map.logdb

.......................................................\..........\.................\..........\..\music_vhdl.cbx.xml

...........

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org