文件名称:Gluttonous-Snake

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [MacOS] [VHDL] [源码]
  • 上传时间:
  • 2015-09-11
  • 文件大小:
  • 1.22mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 张*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

用verilog语言写得一个小游戏,可以在FPGA上运行。-Verilog language to write a game in which can run on the FPGA.
(系统自动生成,下载前可以参看下载内容)

下载文件列表





贪吃蛇

......\Greedy_snake

......\............\Apple_generate_module.v

......\............\apple_generate_module.v.bak

......\............\end_top.v

......\............\end_top.v.bak

......\............\end_Vga_control.v

......\............\end_vga_control.v.bak

......\............\end_Vga_sync.v

......\............\ex5_image_256x256_rom.mif

......\............\ex5_over_256x256_rom.mif

......\............\ex5_over_rom.qip

......\............\ex5_over_rom.v

......\............\ex5_over_rom_bb.v

......\............\ex5_over_rom_inst.v

......\............\ex5_start_rom.qip

......\............\ex5_start_rom.v

......\............\ex5_start_rom_bb.v

......\............\ex5_start_rom_inst.v

......\............\Game_ctrl_module.v

......\............\game_ctrl_module.v.bak

......\............\Greedy_snake.asm.rpt

......\............\Greedy_snake.cdf

......\............\Greedy_snake.done

......\............\Greedy_snake.eda.rpt

......\............\Greedy_snake.fit.rpt

......\............\Greedy_snake.fit.smsg

......\............\Greedy_snake.fit.summary

......\............\Greedy_snake.flow.rpt

......\............\Greedy_snake.map.rpt

......\............\Greedy_snake.map.smsg

......\............\Greedy_snake.map.summary

......\............\Greedy_snake.pin

......\............\Greedy_snake.pof

......\............\Greedy_snake.qpf

......\............\Greedy_snake.qsf

......\............\Greedy_snake.qws

......\............\Greedy_snake.sdc

......\............\Greedy_snake.sof

......\............\Greedy_snake.sta.rpt

......\............\Greedy_snake.sta.summary

......\............\Greedy_snake.v

......\............\greedy_snake.v.bak

......\............\Greedy_snake_assignment_defaults.qdf

......\............\Greedy_snake_module.v.bak

......\............\Greedy_snake_nativelink_simulation.rpt

......\............\greybox_tmp

......\............\...........\cbx_args.txt

......\............\incremental_db

......\............\..............\compiled_partitions

......\............\..............\...................\Greedy_snake.db_info

......\............\..............\...................\Greedy_snake.root_partition.cmp.cdb

......\............\..............\...................\Greedy_snake.root_partition.cmp.dfp

......\............\..............\...................\Greedy_snake.root_partition.cmp.hdb

......\............\..............\...................\Greedy_snake.root_partition.cmp.kpt

......\............\..............\...................\Greedy_snake.root_partition.cmp.logdb

......\............\..............\...................\Greedy_snake.root_partition.cmp.rcfdb

......\............\..............\...................\Greedy_snake.root_partition.map.cdb

......\............\..............\...................\Greedy_snake.root_partition.map.dpi

......\............\..............\...................\Greedy_snake.root_partition.map.hbdb.cdb

......\............\..............\...................\Greedy_snake.root_partition.map.hbdb.hb_info

......\............\..............\...................\Greedy_snake.root_partition.map.hbdb.hdb

......\............\..............\...................\Greedy_snake.root_partition.map.hbdb.sig

......\............\..............\...................\Greedy_snake.root_partition.map.hdb

......\............\..............\...................\Greedy_snake.root_partition.map.kpt

......\............\..............\README

......\............\Key_check_module.v

......\............\key_check_module.v.bak

......\............\pll.v

......\............\pll_bb.v

......\............\pll_inst.v

......\............\simulation

......\............\..........\modelsim

......\............\..........\........\Greedy_snake.sft

......\............\..........\........\Greedy_snake.vo

......\............\..........\........\Greedy_snake_fast.vo

......\............\..........\........\Greedy_snake_modelsim.xrf

......\............\..........\........\Greedy_snake_run_msim_rtl_verilog.do

......\............\..........\........\Greedy_snake_v.sdo

......\............\..........\........\Greedy_snake_v_fast.sdo

......\........

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org