文件名称:counter

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2014-12-09
  • 文件大小:
  • 1kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • as***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

一个100MHZ的时钟信号经过分频器得到1HZ信号,然后输入到三位计数器中,计数器的输出在相应的FPGA上的LED灯上展示。该程序主要包含四部分:测试文件、顶层文件、分屏器模块和计数器模块。-100MHZ clock signal through a divider to get 1HZ signal, and then input to the three counters, the output of the counter displayed on the corresponding LED lights on the FPGA. The program consists of four main parts: the test file, the top-level file, split screen modules and counter module.
(系统自动生成,下载前可以参看下载内容)

下载文件列表





counter\counter3b.v

.......\div_clk.v

.......\test_counter.v

.......\top.v

counter

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org