文件名称:ADDER8B

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • 上传时间:
  • 2013-06-04
  • 文件大小:
  • 285kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • zhu****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

用VHDL描述了八位加法器,并通过波形仿真验证其正确性-Described in VHDL eight adder and verify its correctness by means of simulation waveform
(系统自动生成,下载前可以参看下载内容)

下载文件列表





ADDER8B

.......\ADDER_4B.bsf

.......\ADDER_4B.vhd

.......\ADDER_4B.vhd.bak

.......\ADDER_8B.asm.rpt

.......\ADDER_8B.bsf

.......\ADDER_8B.done

.......\ADDER_8B.fit.rpt

.......\ADDER_8B.fit.smsg

.......\ADDER_8B.fit.summary

.......\ADDER_8B.flow.rpt

.......\ADDER_8B.map.rpt

.......\ADDER_8B.map.summary

.......\ADDER_8B.pin

.......\ADDER_8B.pof

.......\ADDER_8B.qpf

.......\ADDER_8B.qsf

.......\ADDER_8B.qws

.......\ADDER_8B.sim.rpt

.......\ADDER_8B.sof

.......\ADDER_8B.tan.rpt

.......\ADDER_8B.tan.summary

.......\ADDER_8B.vhd

.......\ADDER_8B.vhd.bak

.......\ADDER_8B.vwf

.......\ADDER_8B_1

.......\..........\ADDER_8B_1.qpf

.......\..........\ADDER_8B_1.qsf

.......\..........\ADDER_8B_1.qws

.......\..........\db

.......\..........\..\ADDER_8B_1.db_info

.......\..........\..\ADDER_8B_1.eco.cdb

.......\..........\..\ADDER_8B_1.sld_design_entry.sci

.......\db

.......\..\ADDER_8B.asm.qmsg

.......\..\ADDER_8B.asm_labs.ddb

.......\..\ADDER_8B.cbx.xml

.......\..\ADDER_8B.cmp.bpm

.......\..\ADDER_8B.cmp.cdb

.......\..\ADDER_8B.cmp.ecobp

.......\..\ADDER_8B.cmp.hdb

.......\..\ADDER_8B.cmp.logdb

.......\..\ADDER_8B.cmp.rdb

.......\..\ADDER_8B.cmp.tdb

.......\..\ADDER_8B.cmp0.ddb

.......\..\ADDER_8B.db_info

.......\..\ADDER_8B.eco.cdb

.......\..\ADDER_8B.eds_overflow

.......\..\ADDER_8B.fit.qmsg

.......\..\ADDER_8B.fnsim.cdb

.......\..\ADDER_8B.fnsim.hdb

.......\..\ADDER_8B.fnsim.qmsg

.......\..\ADDER_8B.hier_info

.......\..\ADDER_8B.hif

.......\..\ADDER_8B.map.bpm

.......\..\ADDER_8B.map.cdb

.......\..\ADDER_8B.map.ecobp

.......\..\ADDER_8B.map.hdb

.......\..\ADDER_8B.map.logdb

.......\..\ADDER_8B.map.qmsg

.......\..\ADDER_8B.map_bb.cdb

.......\..\ADDER_8B.map_bb.hdb

.......\..\ADDER_8B.map_bb.hdbx

.......\..\ADDER_8B.map_bb.logdb

.......\..\ADDER_8B.pre_map.cdb

.......\..\ADDER_8B.pre_map.hdb

.......\..\ADDER_8B.psp

.......\..\ADDER_8B.root_partition.cmp.atm

.......\..\ADDER_8B.root_partition.cmp.dfp

.......\..\ADDER_8B.root_partition.cmp.hdbx

.......\..\ADDER_8B.root_partition.cmp.logdb

.......\..\ADDER_8B.root_partition.cmp.rcf

.......\..\ADDER_8B.root_partition.map.atm

.......\..\ADDER_8B.root_partition.map.hdbx

.......\..\ADDER_8B.root_partition.map.info

.......\..\ADDER_8B.rtlv.hdb

.......\..\ADDER_8B.rtlv_sg.cdb

.......\..\ADDER_8B.rtlv_sg_swap.cdb

.......\..\ADDER_8B.sgdiff.cdb

.......\..\ADDER_8B.sgdiff.hdb

.......\..\ADDER_8B.signalprobe.cdb

.......\..\ADDER_8B.sim.cvwf

.......\..\ADDER_8B.sim.hdb

.......\..\ADDER_8B.sim.qmsg

.......\..\ADDER_8B.sim.rdb

.......\..\ADDER_8B.simfam

.......\..\ADDER_8B.sld_design_entry.sci

.......\..\ADDER_8B.sld_design_entry_dsc.sci

.......\..\ADDER_8B.syn_hier_info

.......\..\ADDER_8B.tan.qmsg

.......\..\ADDER_8B.tis_db_list.ddb

.......\..\ADDER_8B.tmw_info

.......\..\prev_cmp_ADDER_8B.asm.qmsg

.......\..\prev_cmp_ADDER_8B.fit.qmsg

.......\..\prev_cmp_ADDER_8B.map.qmsg

.......\..\prev_cmp_ADDER_8B.qmsg

.......\..\prev_cmp_ADDER_8B.sim.qmsg

.......\..\prev_cmp_ADDER_8B.tan.qmsg

.......\..\wed.wsf

.......\Waveform1.vwf

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org