文件名称:asyn_fifo_bk

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [PDF]
  • 上传时间:
  • 2013-05-06
  • 文件大小:
  • 3.1mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 江*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

该verilog代码位手动编写的异步fifo。-This code is manually generated asychronous fifo.
(系统自动生成,下载前可以参看下载内容)

下载文件列表





asyn_fifo

.........\asyn_fifo.pdf

.........\fifo

.........\....\asyn_fifo_rd.v

.........\....\asyn_fifo_wr.v

.........\....\backup

.........\....\......\asyn_fifo_rd-2010第一版.v

.........\....\......\asyn_fifo_rd-2011论文原始版.v

.........\....\......\asyn_fifo_wr-2010第一版.v

.........\....\......\asyn_fifo_wr-2011论文原始版.v

.........\....\......\syn_fifo_bakup.v

.........\....\......\syn_fifo_comp.v

.........\....\......\syn_fifo_seq.v

.........\....\......\syn_fifo_x4.v

.........\....\syn_fifo.v

.........\....\tb_asyn_fifo

.........\....\............\RA2SH256X8.v

.........\....\............\altera_dual_sram256x8.v

.........\....\............\lib

.........\....\............\...\altera

.........\....\............\...\......\220model.v

.........\....\............\...\......\altera_mf.v

.........\....\............\...\......\altera_primitives.v

.........\....\............\...\......\sgate.v

.........\....\............\...\......\stratixgx_atoms.v

.........\....\............\...\smic18

.........\....\............\...\......\smic18m.v

.........\....\............\...\xilinx

.........\....\............\...\......\glbl.v

.........\....\............\...\......\iSE

.........\....\............\...\......\...\abel

.........\....\............\...\......\...\....\aim.v

.........\....\............\...\......\...\cpld

.........\....\............\...\......\...\....\cpld_det.v

.........\....\............\...\......\...\....\cpld_det_sim.v

.........\....\............\...\......\...\unisim_comp.v

.........\....\............\...\......\simprims

.........\....\............\...\......\........\.X_IODELAY.v.swp

.........\....\............\...\......\........\X_AFIFO36_INTERNAL.v

.........\....\............\...\......\........\X_AND16.v

.........\....\............\...\......\........\X_AND2.v

.........\....\............\...\......\........\X_AND2B1L.v

.........\....\............\...\......\........\X_AND3.v

.........\....\............\...\......\........\X_AND32.v

.........\....\............\...\......\........\X_AND4.v

.........\....\............\...\......\........\X_AND5.v

.........\....\............\...\......\........\X_AND6.v

.........\....\............\...\......\........\X_AND7.v

.........\....\............\...\......\........\X_AND8.v

.........\....\............\...\......\........\X_AND9.v

.........\....\............\...\......\........\X_ARAMB36_INTERNAL.v

.........\....\............\...\......\........\X_AUTOBUF.v

.........\....\............\...\......\........\X_BPAD.v

.........\....\............\...\......\........\X_BSCAN_FPGACORE.v

.........\....\............\...\......\........\X_BSCAN_SPARTAN3.v

.........\....\............\...\......\........\X_BSCAN_SPARTAN3A.v

.........\....\............\...\......\........\X_BSCAN_SPARTAN6.v

.........\....\............\...\......\........\X_BSCAN_VIRTEX4.v

.........\....\............\...\......\........\X_BSCAN_VIRTEX5.v

.........\....\............\...\......\........\X_BSCAN_VIRTEX6.v

.........\....\............\...\......\........\X_BUF.v

.........\....\............\...\......\........\X_BUFGCTRL.v

.........\....\............\...\......\........\X_BUFGMUX.v

.........\....\............\...\......\........\X_BUFGMUX_1.v

.........\....\............\...\......\........\X_BUFHCE.v

.........\....\............\...\......\........\X_BUFIO2.v

.........\....\............\...\......\........\X_BUFIO2FB.v

.........\....\............\...\......\........\X_BUFIO2_2CLK.v

.........\....\............\...\......\........\X_BUFIODQS.v

.........\....\............\...\......\........\X_BUFPLL.v

.........\....\............\...\......\........\X_BUFPLL_MCB.v

.........\....\............\...\......\........\X_BUFR.v

.........\....\............\...\......\........\X_CAPTURE_VIRTEX6.v

.........\....\............\...\......\........\X_CARRY4.v

.........\....\............\...\......\........\X_CKBUF.v

.........\....\............\...\......\........\X_CLKDLL.v

.........\....\............\...\......\........\X_CLKDLLE.v

.........\....\............\...\......\........\X_CLK_DIV.v

.........\....\............\...

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org