文件名称:ddr_verilog

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [ASM] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 662kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 雷**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

DDR控制器的VERILOG代码;状态机;读写;刷新等操作-ddr controller,verilog
(系统自动生成,下载前可以参看下载内容)

下载文件列表





ddr_verilog_xilinx\.recordref

..................\AutoConstraint_top.sdc

..................\compxlib.cfg

..................\ddr_verilog_xilinx.ise

..................\ddr_verilog_xilinx.restore

..................\define.v

..................\.oc\ddr_xilinx.pdf

..................\glbl.v

..................\model.list

..................\modelsim.ini

..................\mt46v4m16.v

..................\readme.txt

..................\rpt_top.areasrr

..................\rpt_top_areasrr.htm

..................\run_options.txt

..................\string_decode_fn.v

..................\synplicity.ucf

..................\...tmp\top.plg

..................\......\top_flink.htm

..................\......\top_srr.htm

..................\......\top_toc.htm

..................\tb_top.v

..................\test.fdo

..................\test.udo

..................\test_wave.fdo

..................\top.edn

..................\top.fse

..................\top.htm

..................\top.map

..................\top.ncf

..................\top.prj

..................\top.sap

..................\top.sdc

..................\top.srd

..................\top.srm

..................\top.srr

..................\top.srs

..................\top.szr

..................\top.tlg

..................\top.ucf

..................\top_compile.tcl

..................\top_func.v

..................\top_map.tcl

..................\top_summary.html

..................\transcript

..................\traplog.tlg

..................\verif\top.vif

..................\vsim.wlf

..................\wave.do

..................\.ork\addr_latch\_primary.dat

..................\....\..........\_primary.vhd

..................\....\brst_cntr\_primary.dat

..................\....\.........\_primary.vhd

..................\....\clk_dlls\_primary.dat

..................\....\........\_primary.vhd

..................\....\.ontroller\_primary.dat

..................\....\..........\_primary.vhd

..................\....\.slt_cntr\_primary.dat

..................\....\.........\_primary.vhd

..................\....\data_dly\_primary.dat

..................\....\........\_primary.vhd

..................\....\.....path\_primary.dat

..................\....\.........\_primary.vhd

..................\....\.dr_ctlr\_primary.dat

..................\....\........\_primary.vhd

..................\....\....dq_io_16\_primary.dat

..................\....\............\_primary.vhd

..................\....\....iob_ff\_primary.dat

..................\....\..........\_primary.vhd

..................\....\glbl\_primary.dat

..................\....\....\_primary.vhd

..................\....\mt46v4m16\_primary.dat

..................\....\.........\_primary.vhd

..................\....\rcd_cntr\_primary.dat

..................\....\........\_primary.vhd

..................\....\test\_primary.dat

..................\....\....\_primary.vhd

..................\....\.op\_primary.dat

..................\....\...\_primary.vhd

..................\....\user_int\_primary.dat

..................\....\........\_primary.vhd

..................\....\_info

..................\....\.opt\D__Xilinx_10.1_ISE_verilog_mti_se_secureip__info

..................\....\....\D__Xilinx_10.1_ISE_verilog_mti_se_unimacro_ver__info

..................\....\....\D__Xilinx_10.1_ISE_verilog_mti_se_unisims_ver_@b@u@f@g_fast.dt2

..................\....\....\D__Xilinx_10.1_ISE_verilog_mti_se_unisims_ver_@c@l@k@d@l@l_fast.asm

..................\....\....\D__Xilinx_10.1_ISE_verilog_mti_se_unisims_ver_@c@l@k@d@l@l_fast.dt2

..................\....\....\D__Xilinx_10.1_ISE_verilog_mti_se_unisims_ver_@f@d@d@r@r@s@e_fast.asm

..................\....\....\D__Xilinx_10.1_ISE_verilog_mti_se_unisims_ver_@f@d@d@r@r@s@e_fast.dt2

..................\....\....\D__Xilinx_10.1_ISE_verilog_mti_se_unisims_ver_@f@d_fast.asm

..................\....\....\D__Xilinx_10.1_ISE_verilog_mti_se_unisims_ver_@f@d_fast.dt2

..................\....\....\D__Xilinx_10.1_ISE_verilog_mti_se_unisims_ver_@i@b@u@f@g_fast.dt2

..................\....\....\D__Xilinx_10.1_ISE_verilog_mti_se_unisims_ver_@i@b@u@f_fast.dt2

..................\....\....\D__Xili

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org