文件名称:FSM

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 1.07mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 李*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

典型实例用FPGA来实现有限 状态机 FSM的程序编写-fpga fsm verilog
(系统自动生成,下载前可以参看下载内容)

下载文件列表





典型实例6 状态机

................\实战训练6 状态机

................\.................\state_machine1

................\.................\..............\download

................\.................\..............\........\state_machine.bit

................\.................\..............\........\state_machine.mcs

................\.................\..............\........\state_machine.ucf

................\.................\..............\project

................\.................\..............\.......\automake.log

................\.................\..............\.......\bitgen.ut

................\.................\..............\.......\compxlib.cfg

................\.................\..............\.......\compxlib.log

................\.................\..............\.......\compxlib.log.bak

................\.................\..............\.......\modelsim.ini

................\.................\..............\.......\project.cxl

................\.................\..............\.......\project.dhp

................\.................\..............\.......\project.ise

................\.................\..............\.......\project.ise_ISE_Backup

................\.................\..............\.......\state_machine.bgn

................\.................\..............\.......\state_machine.bit

................\.................\..............\.......\state_machine.bld

................\.................\..............\.......\state_machine.cmd_log

................\.................\..............\.......\state_machine.drc

................\.................\..............\.......\state_machine.lfp

................\.................\..............\.......\state_machine.lso

................\.................\..............\.......\state_machine.mrp

................\.................\..............\.......\state_machine.nc1

................\.................\..............\.......\state_machine.ncd

................\.................\..............\.......\state_machine.ngc

................\.................\..............\.......\state_machine.ngd

................\.................\..............\.......\state_machine.ngm

................\.................\..............\.......\state_machine.ngr

................\.................\..............\.......\state_machine.pad

................\.................\..............\.......\state_machine.pad_txt

................\.................\..............\.......\state_machine.par

................\.................\..............\.......\state_machine.pcf

................\.................\..............\.......\state_machine.placed_ncd_tracker

................\.................\..............\.......\state_machine.prj

................\.................\..............\.......\state_machine.routed_ncd_tracker

................\.................\..............\.......\state_machine.stx

................\.................\..............\.......\state_machine.syr

................\.................\..............\.......\state_machine.twr

................\.................\..............\.......\state_machine.twx

................\.................\..............\.......\state_machine.ucf

................\.................\..............\.......\state_machine.ucf.untf

................\.................\..............\.......\state_machine.ut

................\.................\..............\.......\state_machine.v

................\.................\..............\.......\state_machine.xpi

................\.................\..............\.......\state_machine_last_par.ncd

................\.................\..............\.......\state_machine_map.ncd

................\.................\..............\.......\state_machine_map.ngm

................\.................\..............\.......\state_machine_pad.csv

................\.................\..............\.......\state_machine_pad.txt

................\.................\..............\.......\state_machine_summary.html

................\.................\..............\.......\state_machine_vhdl.prj

................\................

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org