文件名称:nios2audio

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [ASM] [源码]
  • 上传时间:
  • 2013-01-02
  • 文件大小:
  • 20.89mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 晨*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

在DE2_70板子上,实现的一个录音功能的设计-DE270 board to achieve the design of a tape recorder
(系统自动生成,下载前可以参看下载内容)

下载文件列表





nios2audio\.sopc_builder\filters.xml

..........\.............\install.ptf

..........\.............\install2.ptf

..........\.............\preferences.xml

..........\altpllpll.inc

..........\altpllpll.ppf

..........\altpllpll.qip

..........\altpllpll.v

..........\altpllpll_bb.v

..........\altpllpll_sopc.ppf

..........\altpllpll_sopc.v

..........\audio.v

..........\audio_pll.bsf

..........\audio_pll.ppf

..........\audio_pll.v

..........\audio_pll_bb.v

..........\audio_pll_wave0.jpg

..........\audio_pll_waveforms.html

..........\auido_dac_fifo_wave0.jpg

..........\auido_dac_fifo_wave1.jpg

..........\auido_dac_fifo_waveforms.html

..........\Chain1.cdf

..........\CLK_DIV.v

..........\clock_0.v

..........\clock_1.v

..........\cpu.ocp

..........\cpu.sdc

..........\cpu.v

..........\cpu_bht_ram.mif

..........\cpu_dc_tag_ram.mif

..........\cpu_ic_tag_ram.mif

..........\cpu_interrupt_vector.v

..........\cpu_jtag_debug_module.v

..........\cpu_jtag_debug_module_sysclk.v

..........\cpu_jtag_debug_module_tck.v

..........\cpu_jtag_debug_module_wrapper.v

..........\cpu_mult_cell.v

..........\cpu_ociram_default_contents.mif

..........\cpu_oci_test_bench.v

..........\cpu_rf_ram_a.mif

..........\cpu_rf_ram_b.mif

..........\cpu_test_bench.v

..........\db\altsyncram_5kh1.tdf

..........\..\altsyncram_5rh1.tdf

..........\..\altsyncram_6c32.tdf

..........\..\altsyncram_6kh1.tdf

..........\..\altsyncram_6uh1.tdf

..........\..\altsyncram_92j1.tdf

..........\..\altsyncram_9gi1.tdf

..........\..\altsyncram_9ni1.tdf

..........\..\altsyncram_abn1.tdf

..........\..\altsyncram_agi1.tdf

..........\..\altsyncram_asg1.tdf

..........\..\altsyncram_bse1.tdf

..........\..\altsyncram_chp1.tdf

..........\..\altsyncram_dea2.tdf

..........\..\altsyncram_eqh1.tdf

..........\..\altsyncram_fve1.tdf

..........\..\altsyncram_imi1.tdf

..........\..\altsyncram_j6a1.tdf

..........\..\altsyncram_k1l1.tdf

..........\..\altsyncram_kej1.tdf

..........\..\altsyncram_kig2.tdf

..........\..\altsyncram_pap1.tdf

..........\..\altsyncram_pcg1.tdf

..........\..\altsyncram_pec1.tdf

..........\..\altsyncram_rhh1.tdf

..........\..\altsyncram_sbf1.tdf

..........\..\altsyncram_ui32.tdf

..........\..\alt_synch_pipe_jcb.tdf

..........\..\alt_synch_pipe_nc8.tdf

..........\..\a_dpfifo_oa61.tdf

..........\..\a_fefifo_7cf.tdf

..........\..\a_graycounter_6fc.tdf

..........\..\a_graycounter_7fc.tdf

..........\..\a_graycounter_g86.tdf

..........\..\cmpr_o16.tdf

..........\..\cmpr_p16.tdf

..........\..\cntr_fjb.tdf

..........\..\cntr_rj7.tdf

..........\..\cpu_ociram_default_contents_mod.mif

..........\..\dcfifo_2gp1.tdf

..........\..\DE2P_TOP.asm.qmsg

..........\..\DE2P_TOP.asm_labs.ddb

..........\..\DE2P_TOP.cbx.xml

..........\..\DE2P_TOP.cmp.bpm

..........\..\DE2P_TOP.cmp.cdb

..........\..\DE2P_TOP.cmp.ecobp

..........\..\DE2P_TOP.cmp.hdb

..........\..\DE2P_TOP.cmp.kpt

..........\..\DE2P_TOP.cmp.logdb

..........\..\DE2P_TOP.cmp.rdb

..........\..\DE2P_TOP.cmp.tdb

..........\..\DE2P_TOP.cmp0.ddb

..........\..\DE2P_TOP.cmp_merge.kpt

..........\..\DE2P_TOP.db_info

..........\..\DE2P_TOP.eco.cdb

..........\..\DE2P_TOP.fit.qmsg

..........\..\DE2P_TOP.hier_info

..........\..\DE2P_TOP.hif

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org