文件名称:DMA
介绍说明--下载内容均来自于网络,请自行研究使用
DMA controller VHDL code entity dma is
generic
(
ADDR_WIDTH : integer := 16 -- default value
DATA_WIDTH : integer := 16 -- default value
)
port
(
RESET_L : in std_logic
CLK : in std_logic
DRQ_L : in std_logic
DMAACK_L : in std_logic
RDY_L : in std_logic
DACK_L : out std_logic
DMARQ_L : out std_logic
WR_L : inout std_logic
ADDR : inout std_logic_vector(ADDR_WIDTH - 1 downto 0)
DATA : inout std_logic_vector(DATA_WIDTH - 1 downto 0)
)
end dma -DMA controller VHDL code entity dma is
generic
(
ADDR_WIDTH : integer := 16 -- default value
DATA_WIDTH : integer := 16 -- default value
)
port
(
RESET_L : in std_logic
CLK : in std_logic
DRQ_L : in std_logic
DMAACK_L : in std_logic
RDY_L : in std_logic
DACK_L : out std_logic
DMARQ_L : out std_logic
WR_L : inout std_logic
ADDR : inout std_logic_vector(ADDR_WIDTH - 1 downto 0)
DATA : inout std_logic_vector(DATA_WIDTH - 1 downto 0)
)
end dma
generic
(
ADDR_WIDTH : integer := 16 -- default value
DATA_WIDTH : integer := 16 -- default value
)
port
(
RESET_L : in std_logic
CLK : in std_logic
DRQ_L : in std_logic
DMAACK_L : in std_logic
RDY_L : in std_logic
DACK_L : out std_logic
DMARQ_L : out std_logic
WR_L : inout std_logic
ADDR : inout std_logic_vector(ADDR_WIDTH - 1 downto 0)
DATA : inout std_logic_vector(DATA_WIDTH - 1 downto 0)
)
end dma -DMA controller VHDL code entity dma is
generic
(
ADDR_WIDTH : integer := 16 -- default value
DATA_WIDTH : integer := 16 -- default value
)
port
(
RESET_L : in std_logic
CLK : in std_logic
DRQ_L : in std_logic
DMAACK_L : in std_logic
RDY_L : in std_logic
DACK_L : out std_logic
DMARQ_L : out std_logic
WR_L : inout std_logic
ADDR : inout std_logic_vector(ADDR_WIDTH - 1 downto 0)
DATA : inout std_logic_vector(DATA_WIDTH - 1 downto 0)
)
end dma
(系统自动生成,下载前可以参看下载内容)
下载文件列表
DMA.vhd