文件名称:T200071012217h

  • 所属分类:
  • Windows编程
  • 资源属性:
  • [WORD]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 722kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 一***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

此源码为线性相位滤波的vhdl源码与设计心的体会,理论分分析与工程实践总结相结合,有非常大的参考价值 可直接使用。

-The source for the linear phase filter VHDL source code and design of the heart experience, theoretical analysis to summarize the combination of engineering practice, a very large reference value can be used directly.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

T200071012217h\17阶FIR滤波器VHDL代码及说明文档\EDA课程设计报告.doc

..............\...............................\firOK\add121313.bsf

..............\...............................\.....\add121313.vhd

..............\...............................\.....\add121414.bsf

..............\...............................\.....\add121414.vhd

..............\...............................\.....\add121616.bsf

..............\...............................\.....\add121616.vhd

..............\...............................\.....\add141616.bsf

..............\...............................\.....\add141616.vhd

..............\...............................\.....\add888.bsf

..............\...............................\.....\add888.vhd

..............\...............................\.....\add889.bsf

..............\...............................\.....\add889.vhd

..............\...............................\.....\cmp_state.ini

..............\...............................\.....\db\fir-sim.vwf

..............\...............................\.....\..\fir.cbx.xml

..............\...............................\.....\..\fir.cmp.logdb

..............\...............................\.....\..\fir.cmp.rdb

..............\...............................\.....\..\fir.dbp

..............\...............................\.....\..\fir.db_info

..............\...............................\.....\..\fir.eco.cdb

..............\...............................\.....\..\fir.fit.qmsg

..............\...............................\.....\..\fir.hier_info

..............\...............................\.....\..\fir.hif

..............\...............................\.....\..\fir.map.cdb

..............\...............................\.....\..\fir.map.hdb

..............\...............................\.....\..\fir.map.logdb

..............\...............................\.....\..\fir.map.qmsg

..............\...............................\.....\..\fir.pre_map.cdb

..............\...............................\.....\..\fir.pre_map.hdb

..............\...............................\.....\..\fir.psp

..............\...............................\.....\..\fir.rtlv.hdb

..............\...............................\.....\..\fir.rtlv_sg.cdb

..............\...............................\.....\..\fir.rtlv_sg_swap.cdb

..............\...............................\.....\..\fir.sgdiff.cdb

..............\...............................\.....\..\fir.sgdiff.hdb

..............\...............................\.....\..\fir.sld_design_entry.sci

..............\...............................\.....\..\fir.sld_design_entry_dsc.sci

..............\...............................\.....\..\fir.syn_hier_info

..............\...............................\.....\..\fir_cmp.qrpt

..............\...............................\.....\..\fir_hier_info

..............\...............................\.....\..\fir_sim.qrpt

..............\...............................\.....\..\fir_syn_hier_info

..............\...............................\.....\..\wed.zsf

..............\...............................\.....\dff15.bsf

..............\...............................\.....\dff15.vhd

..............\...............................\.....\dff8.bsf

..............\...............................\.....\dff8.vhd

..............\...............................\.....\dff89.bsf

..............\...............................\.....\dff89.vhd

..............\...............................\.....\fir.asm.rpt

..............\...............................\.....\fir.bdf

..............\...............................\.....\fir.done

..............\...............................\.....\fir.fit.eqn

..............\...............................\.....\fir.fit.rpt

..............\...............................\.....\fir.flow.rpt

..............\...............................\.....\fir.map.eqn

..............\...............................\.....\fir.map.rpt

..............\...............................\.....\fir.map.summary

..............\...............................\.....\fir.pin

..............\.............................

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org