文件名称:nova_latest

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [WORD]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 874kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • sal****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

h.264完整的解码器,用verilog实现,属于opencores-h.264 full decoder, implemented by verilog, one of opencores
(系统自动生成,下载前可以参看下载内容)

下载文件列表

nova_latest\nova\tags\Start\doc\readme.txt

...........\....\....\.....\MISC\readme.txt

...........\....\....\.....\src\Beha_BitStream_ram.v

...........\....\....\.....\...\BitStream_buffer.v

...........\....\....\.....\...\BitStream_controller.v

...........\....\....\.....\...\bitstream_gclk_gen.v

...........\....\....\.....\...\BitStream_parser_FSM_gating.v

...........\....\....\.....\...\bs_decoding.v

...........\....\....\.....\...\cavlc_consumed_bits_decoding.v

...........\....\....\.....\...\cavlc_decoder.v

...........\....\....\.....\...\CodedBlockPattern_decoding.v

...........\....\....\.....\...\dependent_variable_decoding.v

...........\....\....\.....\...\DF_mem_ctrl.v

...........\....\....\.....\...\DF_pipeline.v

...........\....\....\.....\...\DF_reg_ctrl.v

...........\....\....\.....\...\DF_top.v

...........\....\....\.....\...\end_of_blk_decoding.v

...........\....\....\.....\...\exp_golomb_decoding.v

...........\....\....\.....\...\ext_frame_RAM0_wrapper.v

...........\....\....\.....\...\ext_frame_RAM1_wrapper.v

...........\....\....\.....\...\ext_RAM_ctrl.v

...........\....\....\.....\...\heading_one_detector.v

...........\....\....\.....\...\hybrid_pipeline_ctrl.v

...........\....\....\.....\...\Inter_mv_decoding.v

...........\....\....\.....\...\Inter_pred_CPE.v

...........\....\....\.....\...\Inter_pred_LPE.v

...........\....\....\.....\...\Inter_pred_pipeline.v

...........\....\....\.....\...\Inter_pred_reg_ctrl.v

...........\....\....\.....\...\Inter_pred_sliding_window.v

...........\....\....\.....\...\Inter_pred_top.v

...........\....\....\.....\...\Intra4x4_PredMode_decoding.v

...........\....\....\.....\...\Intra_pred_PE.v

...........\....\....\.....\...\Intra_pred_pipeline.v

...........\....\....\.....\...\Intra_pred_reg_ctrl.v

...........\....\....\.....\...\Intra_pred_top.v

...........\....\....\.....\...\IQIT.v

...........\....\....\.....\...\level_decoding.v

...........\....\....\.....\...\nC_decoding.v

...........\....\....\.....\...\nova.v

...........\....\....\.....\...\nova_defines.v

...........\....\....\.....\...\nova_tb.v

...........\....\....\.....\...\NumCoeffTrailingOnes_decoding.v

...........\....\....\.....\...\pc_decoding.v

...........\....\....\.....\...\QP_decoding.v

...........\....\....\.....\...\ram_async_1r_sync_1w.v

...........\....\....\.....\...\ram_sync_1r_sync_1w.v

...........\....\....\.....\...\reconstruction.v

...........\....\....\.....\...\rec_DF_RAM0_96x32.v

...........\....\....\.....\...\rec_DF_RAM0_wrapper.v

...........\....\....\.....\...\rec_DF_RAM1_96x32.v

...........\....\....\.....\...\rec_DF_RAM1_wrapper.v

...........\....\....\.....\...\rec_DF_RAM_ctrl.v

...........\....\....\.....\...\rec_gclk_gen.v

...........\....\....\.....\...\run_decoding.v

...........\....\....\.....\...\sum.v

...........\....\....\.....\...\syntax_decoding.v

...........\....\....\.....\...\timescale.v

...........\....\....\.....\...\total_zeros_decoding.v

...........\....\....\.....\test\readme.txt

...........\....\.runk\doc\nova_spec.doc

...........\....\.....\...\readme.txt

...........\....\.....\MISC\readme.txt

...........\....\.....\src\Beha_BitStream_ram.v

...........\....\.....\...\BitStream_buffer.v

...........\....\.....\...\BitStream_controller.v

...........\....\.....\...\bitstream_gclk_gen.v

...........\....\.....\...\BitStream_parser_FSM_gating.v

...........\....\.....\...\bs_decoding.v

...........\....\.....\...\cavlc_consumed_bits_decoding.v

...........\....\.....\...\cavlc_decoder.v

...........\....\.....\...\CodedBlockPattern_decoding.v

...........\....\.....\...\dependent_variable_decoding.v

...........\....\.....\...\DF_mem_ctrl.v

...........\....\.....\...\DF_pipeline.v

...........\....\.....\...\DF_reg_ctrl.v

...........\....\.....\...\DF_top.v

...........\....\.....\...\end_of_blk_decoding.v

...........\....\.....\...\exp_golomb_decoding.v

...........\....\.....\...\ext_frame_RAM0_wrapper.v

...........\....\.....\...\ext_frame_RAM1_wrapper.v

...........\....\.....\...\ext_RAM_ctrl.v

...........\....\.....\...\heading_one_detector.v

...........\....\.....\...

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org