文件名称:VHDL100

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Text]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 34.9mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • Hann*****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

一套不错的VHDL例子,附带清华大学自主研制的仿真器,仿真结果都有的,希望给您提供很方便-VHDL a good example of self-developed with Tsinghua University, simulator, simulation results are, I hope to provide you with easy
(系统自动生成,下载前可以参看下载内容)

下载文件列表

VHDL100例\100Examples\10_function\10_bit_to_int.vhd

.........\...........\...........\README.TXT

.........\...........\.1_wiredor\11_wiredor.vhd

.........\...........\..........\README.TXT

.........\...........\.2_convert\12_convert.vhd

.........\...........\..........\README.TXT

.........\...........\.3_SHL\13_SHL.VHD

.........\...........\......\README.TXT

.........\...........\.4_MVL7_functions\14_MVL7_functions.vhd

.........\...........\.................\README.TXT

.........\...........\.5_MUX41\15_MUX41.VHD

.........\...........\........\15_MVL7_functions.vhd

.........\...........\........\15_MVL7_syn_types.vhd

.........\...........\........\15_test_vectors_mux41.vhd

.........\...........\........\15_TYPES.VHD

.........\...........\........\README.TXT

.........\...........\.6_MUX\16_multiple_mux.vhd

.........\...........\......\16_MVL7_functions.vhd

.........\...........\......\16_test_vectors.vhd

.........\...........\......\16_TYPES.VHD

.........\...........\......\README.TXT

.........\...........\......\TYPES.VHD

.........\...........\.7_parity\17_parity.vhd

.........\...........\.........\17_test_bench.vhd

.........\...........\.........\README.TXT

.........\...........\.8_LIB\18_tech_lib.vhd

.........\...........\......\18_test_lib.vhd

.........\...........\......\README.TXT

.........\...........\.9_test_194\19_test_194.vhd

.........\...........\._ADDER\1_ADDER.VHD

.........\...........\.......\README.TXT

.........\...........\20_test_159\20_test_159.vhd

.........\...........\.1_test_13a\21_test_13a.vhd

.........\...........\.2_deadlock\22_deadlock.vhd

.........\...........\.3_test_120\23_Test_120.vhd

.........\...........\.4_test_195\24_test_195.vhd

.........\...........\.5_test_1\25_test_1.vhd

.........\...........\.........\25_test_1a.vhd

.........\...........\.6_test_74s\26_test_74s.vhd

.........\...........\.7_test_16\27_test_16.vhd

.........\...........\.8_test_64a\28_Test_64a.vhd

.........\...........\.9_test_35\29_Test_35.vhd

.........\...........\._ADDER\2_ADDER.VHD

.........\...........\.......\README.TXT

.........\...........\30_test_3\30_Test_3.vhd

.........\...........\.1_test_35b\31_test_35b.vhd

.........\...........\.2_test_110b\32_test_110b.vhd

.........\...........\.3_comparer\33_COMP.VHD

.........\...........\...........\33_comparer.vhd

.........\...........\...........\33_SIMU.VHD

.........\...........\...........\README.TXT

.........\...........\.4_BUS\34_readwrite.VHD

.........\...........\......\34_readwrite_stim.vhd

.........\...........\......\README.TXT

.........\...........\.5_486_bus\35_486_bus.vhd

.........\...........\..........\35_486_sys.vhd

.........\...........\..........\35_bit_pack.vhd

.........\...........\..........\35_bus_test.vhd

.........\...........\..........\35_ram_controller.vhd

.........\...........\..........\75_RAM.VHD

.........\...........\..........\README.TXT

.........\...........\.6_GCD\36_GCD.VHD

.........\...........\......\36_TEST.VHD

.........\...........\......\README.TXT

.........\...........\.7_test_105\37_test_105.vhd

.........\...........\.8_test_28\38_Test_28.vhd

.........\...........\.9_wst0dp\39_wst0dp.vhd

.........\...........\.........\README.TXT

.........\...........\._MUL\3_MUL.VHD

.........\...........\.....\README.TXT

.........\...........\40_generic_dec\40_generic_dec.vhd

.........\...........\..............\README.TXT

.........\...........\.1_generic_testbench\40_generic_dec.vhd

.........\...........\....................\41_generic_testbench.vhd

.........\...........\....................\README.TXT

.........\...........\.2_MIX\42_MIX.VHD

.........\...........\......\README.TXT

.........\...........\.3_register\43_shift_reg.vhd

.........\...........\...........\43_test_register.vhd

.........\...........\...........\README.TXT

.........\...........\.4_reg_counter\44_MVL7_functions.vhd

.........\...........\..............\44_reg_counter.vhd

.........\...........\..............\44_synthesis_types.vhd

.........\...........\..............\44_test_vector.vhd

.........\...........\..............\44_TYPES.VHD

.........\...........\..............\READ

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org