文件名称:mult_8b_for

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 201kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • jenny******
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

本实验使用Verilog语言 通过FOR循环完成8bit乘法器功能,通过ISE仿真测试,可实现综合-Verilog language used in this experiment through the FOR cycle completed 8bit multiplier function, through the ISE simulation tests can be integrated
(系统自动生成,下载前可以参看下载内容)

下载文件列表

mult_8b_for

...........\8b_for.ucf

...........\mult_8b_for.ise

...........\mult_8b_for.ise_ISE_Backup

...........\mult_8b_for.ntrc_log

...........\mult_8b_for1.bld

...........\mult_8b_for1.cmd_log

...........\mult_8b_for1.lso

...........\mult_8b_for1.ngc

...........\mult_8b_for1.ngd

...........\mult_8b_for1.ngr

...........\mult_8b_for1.prj

...........\mult_8b_for1.stx

...........\mult_8b_for1.syr

...........\mult_8b_for1.v

...........\mult_8b_for1.xst

...........\mult_8b_for1_prev_built.ngd

...........\mult_8b_for1_summary.html

...........\xst

...........\...\dump.xst

...........\...\........\mult_8b_for1.prj

...........\...\........\................\ngx

...........\...\........\................\...\notopt

...........\...\........\................\...\opt

...........\...\........\................\ntrc.scr

...........\...\projnav.tmp

...........\...\work

...........\...\....\hdllib.ref

...........\...\....\vlg6A

...........\...\....\.....\mult__8b__for1.bin

...........\_ngo

...........\....\netlist.lst

...........\_xmsgs

...........\......\ngdbuild.xmsgs

...........\......\xst.xmsgs

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org