文件名称:jishuqi

  • 所属分类:
  • 汇编语言
  • 资源属性:
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 29kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 卢*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

在用VHDL语言描述一个计数器时,如果使用了程序包ieee.std_logic_unsigned,则在描述计数器时就可以使用其中的函数“+”(递增计数)和“-”(递减计数)。假定设计对象是增1计数器并且计数器被说明为向量,则当所有位均为‘1’时,计数器的下一状态将自动变成‘0’。举例来说,假定计数器的值到达“111”是将停止,则在增1之前必须测试计数器的值。

如果计数器被说明为整数类型,则必须有上限值测试。否则,在计数顺值等于7,并且要执行增1操作时,模拟器将指出此时有错误发生

-VHDL language used to describe a counter, if used the package ieee.std_logic_unsigned, counters in the descr iption of which can be used when the function "+" (count increments) and "-" (decrease count). By the assumption that the design is a counter and that counter was for the vector, then when all the spaces are' 1 ' , the counter will automatically become the next state' 0' . For example, assume that the value of counter to " 111" is to stop, then prior to the test by a counter value. If the counter has been that an integer type, there must be limits on testing. Otherwise, the count value of 7-shun, and to be implemented by 1 operation, at this time simulator will be pointed out that an error occurred
(系统自动生成,下载前可以参看下载内容)

下载文件列表

1Table

Data

WordDocument

[1]CompObj

[5]DocumentSummaryInformation

[5]SummaryInformation

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org