文件名称:sin_out
介绍说明--下载内容均来自于网络,请自行研究使用
用vhal在qurtusII 7.2 实现的cpsk.dpsk.fsk.ask 四种方式可控制调制方式,用正弦波为载波,伪随机,作为测试信号
(系统自动生成,下载前可以参看下载内容)
下载文件列表
压缩包 : 95302906sin_out.rar 列表 sin_out\ad.bsf sin_out\ad.vhd sin_out\ad.vhd.bak sin_out\addr.bsf sin_out\addr.vhd sin_out\addr.vhd.bak sin_out\addr_ask.bsf sin_out\addr_ask.vhd sin_out\addr_ask.vhd.bak sin_out\addr_cos_sin.bsf sin_out\addr_cos_sin.vhd sin_out\addr_cos_sin.vhd.bak sin_out\addr_cpsk.bsf sin_out\addr_cpsk.vhd sin_out\addr_cpsk.vhd.bak sin_out\addr_dpsk.bsf sin_out\addr_psk.vhd.bak sin_out\db\altsyncram_vu41.tdf sin_out\db\prev_cmp_sin_out.asm.qmsg sin_out\db\prev_cmp_sin_out.eda.qmsg sin_out\db\prev_cmp_sin_out.fit.qmsg sin_out\db\prev_cmp_sin_out.map.qmsg sin_out\db\prev_cmp_sin_out.qmsg sin_out\db\prev_cmp_sin_out.sim.qmsg sin_out\db\prev_cmp_sin_out.tan.qmsg sin_out\db\sin_out.(0).cnf.cdb sin_out\db\sin_out.(0).cnf.hdb sin_out\db\sin_out.(1).cnf.cdb sin_out\db\sin_out.(1).cnf.hdb sin_out\db\sin_out.(10).cnf.cdb sin_out\db\sin_out.(10).cnf.hdb sin_out\db\sin_out.(2).cnf.cdb sin_out\db\sin_out.(2).cnf.hdb sin_out\db\sin_out.(3).cnf.cdb sin_out\db\sin_out.(3).cnf.hdb sin_out\db\sin_out.(4).cnf.cdb sin_out\db\sin_out.(4).cnf.hdb sin_out\db\sin_out.(5).cnf.cdb sin_out\db\sin_out.(5).cnf.hdb sin_out\db\sin_out.(6).cnf.cdb sin_out\db\sin_out.(6).cnf.hdb sin_out\db\sin_out.(7).cnf.cdb sin_out\db\sin_out.(7).cnf.hdb sin_out\db\sin_out.(8).cnf.cdb sin_out\db\sin_out.(8).cnf.hdb sin_out\db\sin_out.(9).cnf.cdb sin_out\db\sin_out.(9).cnf.hdb sin_out\db\sin_out.asm.qmsg sin_out\db\sin_out.cbx.xml sin_out\db\sin_out.cmp.bpm sin_out\db\sin_out.cmp.cdb sin_out\db\sin_out.cmp.ecobp sin_out\db\sin_out.cmp.hdb sin_out\db\sin_out.cmp.logdb sin_out\db\sin_out.cmp.rdb sin_out\db\sin_out.cmp.tdb sin_out\db\sin_out.cmp0.ddb sin_out\db\sin_out.cmp_bb.cdb sin_out\db\sin_out.cmp_bb.hdb sin_out\db\sin_out.cmp_bb.logdb sin_out\db\sin_out.cmp_bb.rcf sin_out\db\sin_out.dbp sin_out\db\sin_out.db_info sin_out\db\sin_out.eco.cdb sin_out\db\sin_out.eda.qmsg sin_out\db\sin_out.eds_overflow sin_out\db\sin_out.fit.qmsg sin_out\db\sin_out.hier_info sin_out\db\sin_out.hif sin_out\db\sin_out.map.bpm sin_out\db\sin_out.map.cdb sin_out\db\sin_out.map.ecobp sin_out\db\sin_out.map.hdb sin_out\db\sin_out.map.logdb sin_out\db\sin_out.map.qmsg sin_out\db\sin_out.map_bb.cdb sin_out\db\sin_out.map_bb.hdb sin_out\db\sin_out.map_bb.logdb sin_out\db\sin_out.pre_map.cdb sin_out\db\sin_out.pre_map.hdb sin_out\db\sin_out.psp sin_out\db\sin_out.pss sin_out\db\sin_out.rtlv.hdb sin_out\db\sin_out.rtlv_sg.cdb sin_out\db\sin_out.rtlv_sg_swap.cdb sin_out\db\sin_out.sgdiff.cdb sin_out\db\sin_out.sgdiff.hdb sin_out\db\sin_out.signalprobe.cdb sin_out\db\sin_out.sim.cvwf sin_out\db\sin_out.sim.hdb sin_out\db\sin_out.sim.qmsg sin_out\db\sin_out.sim.rdb sin_out\db\sin_out.sld_design_entry.sci sin_out\db\sin_out.sld_design_entry_dsc.sci sin_out\db\sin_out.syn_hier_info sin_out\db\sin_out.tan.qmsg sin_out\db\sin_out.tis_db_list.ddb sin_out\db\wed.wsf sin_out\fsk_jt.vhd.bak sin_out\lpm_rom0.bsf sin_out\lpm_rom0.cmp sin_out\lpm_rom0.inc sin_out\lpm_rom0.vhd sin_out\lpm_rom0_inst.vhd sin_out\lpm_rom0_wave0.jpg sin_out\lpm_rom0_waveforms.html sin_out\lpm_rom1.bsf sin_out\lpm_rom1.cmp sin_out\lpm_rom1.inc sin_out\lpm_rom1.vhd sin_out\lpm_rom1_inst.vhd sin_out\lpm_rom1_wave0.jpg sin_out\lpm_rom1_waveforms.html sin_out\random.bsf sin_out\random.vhd sin_out\random.vhd.bak sin_out\simulation\modelsim\altera_mf_87.vhd sin_out\simulation\modelsim\cyclone_atoms.vhd sin_out\simulation\modelsim\sin_out.cr.mti sin_out\simulation\modelsim\sin_out.mpf sin_out\simulation\modelsim\sin_out.vho sin_out\simulation\modelsim\sin_out_modelsim.xrf sin_out\simulation\modelsim\vsim.wlf sin_out\simulation\modelsim\work\alt3pram\behavior.dat sin_out\simulation\modelsim\work\alt3pram\behavior.psm sin_out\simulation\modelsim\work\alt3pram\_primary.dat sin_out\simulation\modelsim\work\altaccumulate\behaviour.dat sin_out\simulation\modelsim\work\altaccumulate\behaviour.psm sin_out\simulation\modelsim\work\altaccumulate\_primary.dat sin_out\simulation\modelsim\work\altcam\behave.dat sin_out\simulation\modelsim\work\altcam\behave.psm sin_out\simulation\modelsim\work\altcam\_primary.dat sin_out\simulation\modelsim\work\altcdr_rx\struct.dat sin_out\simulation\modelsim\work\altcdr_rx\struct.psm sin_out\simulation\modelsim\work\altcdr_rx\_primary.dat sin_out\simulation\modelsim\work\altcdr_tx\struct.dat sin_out\simulation\modelsim\work\altcdr_tx\struct.psm sin_out\simulation\modelsim\work\altcdr_tx\_primary.dat sin_out\simulation\modelsim\work\altclklock\behavior.dat sin_out\simulation\modelsim\work\altclklock\behavior.psm sin_out\simulation\modelsim\work\altclklock\_primary.dat sin_out\simulation\modelsim\work\altddio_bidir\struct.dat sin_out\simulation\modelsim\work\altddio_bidir\struct.psm sin_out\simulation\modelsim\work\altddio_bidir\_primary.dat sin_out\simulation\modelsim\work\altddio_in\behave.dat sin_out\simulation\modelsim\work\altddio_in\behave.psm sin_out\simulation\modelsim\work\altddio_in\_primary.dat sin_out\simulation\modelsim\work\altddio_out\behave.dat sin_out\simulation\modelsim\work\altddio_out\behave.psm sin_out\simulation\modelsim\work\altddio_out\_primary.dat sin_out\simulation\modelsim\work\altdpram\behavior.dat sin_out\simulation\modelsim\work\altdpram\behavior.psm sin_out\simulation\modelsim\work\altdpram\_primary.dat sin_out\simulation\modelsim\work\altera_common_conversion\body.dat sin_out\simulation\modelsim\work\altera_common_conversion\body.psm sin_out\simulation\modelsim\work\altera_common_conversion\_primary.dat sin_out\simulation\modelsim\work\altera_common_conversion\_vhdl.psm sin_out\simulation\modelsim\work\altera_device_families\body.dat sin_out\simulation\modelsim\work\altera_device_families\body.psm sin_out\simulation\modelsim\work\altera_device_families\_primary.dat sin_out\simulation\modelsim\work\altera_device_families\_vhdl.psm sin_out\simulation\modelsim\work\altera_mf_hint_evaluation\body.dat sin_out\simulation\modelsim\work\altera_mf_hint_evaluation\body.psm sin_out\simulation\modelsim\work\altera_mf_hint_evaluation\_primary.dat sin_out\simulation\modelsim\work\altera_mf_hint_evaluation\_vhdl.psm sin_out\simulation\modelsim\work\altfp_mult\behavior.dat sin_out\simulation\modelsim\work\altfp_mult\behavior.psm sin_out\simulation\modelsim\work\altfp_mult\_primary.dat sin_out\simulation\modelsim\work\altlvds_rx\behavior.dat sin_out\simulation\modelsim\work\altlvds_rx\behavior.psm sin_out\simulation\modelsim\work\altlvds_rx\_primary.dat sin_out\simulation\modelsim\work\altlvds_tx\behavior.dat sin_out\simulation\modelsim\work\altlvds_tx\behavior.psm sin_out\simulation\modelsim\work\altlvds_tx\_primary.dat sin_out\simulation\modelsim\work\altmult_accum\behaviour.dat sin_out\simulation\modelsim\work\altmult_accum\behaviour.psm sin_out\simulation\modelsim\work\altmult_accum\_primary.dat sin_out\simulation\modelsim\work\altmult_add\behaviour.dat sin_out\simulation\modelsim\work\altmult_add\behaviour.psm sin_out\simulation\modelsim\work\altmult_add\_primary.dat sin_out\simulation\modelsim\work\altpll\behavior.dat sin_out\simulation\modelsim\work\altpll\behavior.psm sin_out\simulation\modelsim\work\altpll\_primary.dat sin_out\simulation\modelsim\work\altqpram\behavior.dat sin_out\simulation\modelsim\work\altqpram\behavior.psm sin_out\simulation\modelsim\work\altqpram\_primary.dat sin_out\simulation\modelsim\work\altsqrt\behavior.dat sin_out\simulation\modelsim\work\altsqrt\behavior.psm sin_out\simulation\modelsim\work\altsqrt\_primary.dat sin_out\simulation\modelsim\work\altsyncram\translated.dat sin_out\simulation\modelsim\work\altsyncram\translated.psm sin_out\simulation\modelsim\work\altsyncram\_primary.dat sin_out\simulation\modelsim\work\arm_m_cntr\behave.dat sin_out\simulation\modelsim\work\arm_m_cntr\behave.psm sin_out\simulation\modelsim\work\arm_m_cntr\_primary.dat sin_out\simulation\modelsim\work\arm_n_cntr\behave.dat sin_out\simulation\modelsim\work\arm_n_cntr\behave.psm sin_out\simulation\modelsim\work\arm_n_cntr\_primary.dat sin_out\simulation\modelsim\work\arm_scale_cntr\behave.dat sin_out\simulation\modelsim\work\arm_scale_cntr\behave.psm sin_out\simulation\modelsim\work\arm_scale_cntr\_primary.dat sin_out\simulation\modelsim\work\cyclone_and1\altvital.dat sin_out\simulation\modelsim\work\cyclone_and1\altvital.psm sin_out\simulation\modelsim\work\cyclone_and1\_primary.dat sin_out\simulation\modelsim\work\cyclone_asmiblock\architecture_asmiblock.dat sin_out\simulation\modelsim\work\cyclone_asmiblock\architecture_asmiblock.psm sin_out\simulation\modelsim\work\cyclone_asmiblock\_primary.dat sin_out\simulation\modelsim\work\cyclone_asynch_io\behave.dat sin_out\simulation\modelsim\work\cyclone_asynch_io\behave.psm sin_out\simulation\modelsim\work\cyclone_asynch_io\_primary.dat sin_out\simulation\modelsim\work\cyclone_asynch_lcell\vital_le.dat sin_out\simulation\modelsim\work\cyclone_asynch_lcell\vital_le.psm sin_out\simulation\modelsim\work\cyclone_asynch_lcell\_primary.dat sin_out\simulation\modelsim\work\cyclone_atom_pack\body.dat sin_out\simulation\modelsim\work\cyclone_atom_pack\body.psm sin_out\simulation\modelsim\work\cyclone_atom_pack\_primary.dat sin_out\simulation\modelsim\work\cyclone_atom_pack\_vhdl.psm sin_out\simulation\modelsim\work\cyclone_crcblock\architecture_crcblock.dat sin_out\simulation\modelsim\work\cyclone_crcblock\architecture_crcblock.psm sin_out\simulation\modelsim\work\cyclone_crcblock\_primary.dat sin_out\simulation\modelsim\work\cyclone_dffe\behave.dat sin_out\simulation\modelsim\work\cyclone_dffe\behave.psm sin_out\simulation\modelsim\work\cyclone_dffe\_primary.dat sin_out\simulation\modelsim\work\cyclone_dll\vital_dll.dat sin_out\simulation\modelsim\work\cyclone_dll\vital_dll.psm sin_out\simulation\modelsim\work\cyclone_dll\_primary.dat sin_out\simulation\modelsim\work\cyclone_io\structure.dat sin_out\simulation\modelsim\work\cyclone_io\structure.psm sin_out\simulation\modelsim\work\cyclone_io\_primary.dat sin_out\simulation\modelsim\work\cyclone_jtag\architecture_jtag.dat sin_out\simulation\modelsim\work\cyclone_jtag\architecture_jtag.psm sin_out\simulation\modelsim\work\cyclone_jtag\_primary.dat sin_out\simulation\modelsim\work\cyclone_lcell\vital_le_atom.dat sin_out\simulation\modelsim\work\cyclone_lcell\vital_le_atom.psm sin_out\simulation\modelsim\work\cyclone_lcell\_primary.dat sin_out\simulation\modelsim\work\cyclone_lcell_register\vital_le_reg.dat sin_out\simulation\modelsim\work\cyclone_lcell_register\vital_le_reg.psm sin_out\simulation\modelsim\work\cyclone_lcell_register\_primary.dat sin_out\simulation\modelsim\work\cyclone_mux21\altvital.dat sin_out\simulation\modelsim\work\cyclone_mux21\altvital.psm sin_out\simulation\modelsim\work\cyclone_mux21\_primary.dat sin_out\simulation\modelsim\work\cyclone_mux41\altvital.dat sin_out\simulation\modelsim\work\cyclone_mux41\altvital.psm sin_out\simulation\modelsim\work\cyclone_mux41\_primary.dat sin_out\simulation\modelsim\work\cyclone_m_cntr\behave.dat sin_out\simulation\modelsim\work\cyclone_m_cntr\behave.psm sin_out\simulation\modelsim\work\cyclone_m_cntr\_primary.dat sin_out\simulation\modelsim\work\cyclone_n_cntr\behave.dat sin_out\simulation\modelsim\work\cyclone_n_cntr\behave.psm sin_out\simulation\modelsim\work\cyclone_n_cntr\_primary.dat sin_out\simulation\modelsim\work\cyclone_pll\vital_pll.dat sin_out\simulation\modelsim\work\cyclone_pll\vital_pll.psm sin_out\simulation\modelsim\work\cyclone_pll\_primary.dat sin_out\simulation\modelsim\work\cyclone_pllpack\body.dat sin_out\simulation\modelsim\work\cyclone_pllpack\body.psm sin_out\simulation\modelsim\work\cyclone_pllpack\_primary.dat sin_out\simulation\modelsim\work\cyclone_pllpack\_vhdl.psm sin_out\simulation\modelsim\work\cyclone_pll_reg\behave.dat sin_out\simulation\modelsim\work\cyclone_pll_reg\behave.psm sin_out\simulation\modelsim\work\cyclone_pll_reg\_primary.dat sin_out\simulation\modelsim\work\cyclone_ram_block\block_arch.dat sin_out\simulation\modelsim\work\cyclone_ram_block\block_arch.psm sin_out\simulation\modelsim\work\cyclone_ram_block\_primary.dat sin_out\simulation\modelsim\work\cyclone_ram_pulse_generator\pgen_arch.dat sin_out\simulation\modelsim\work\cyclone_ram_pulse_generator\pgen_arch.psm sin_out\simulation\modelsim\work\cyclone_ram_pulse_generator\_primary.dat sin_out\simulation\modelsim\work\cyclone_ram_register\reg_arch.dat sin_out\simulation\modelsim\work\cyclone_ram_register\reg_arch.psm sin_out\simulation\modelsim\work\cyclone_ram_register\_primary.dat sin_out\simulation\modelsim\work\cyclone_routing_wire\behave.dat sin_out\simulation\modelsim\work\cyclone_routing_wire\behave.psm sin_out\simulation\modelsim\work\cyclone_routing_wire\_primary.dat sin_out\simulation\modelsim\work\cyclone_scale_cntr\behave.dat sin_out\simulation\modelsim\work\cyclone_scale_cntr\behave.psm sin_out\simulation\modelsim\work\cyclone_scale_cntr\_primary.dat sin_out\simulation\modelsim\work\dffp\behave.dat sin_out\simulation\modelsim\work\dffp\behave.psm sin_out\simulation\modelsim\work\dffp\_primary.dat sin_out\simulation\modelsim\work\flexible_lvds_rx\behavior.dat sin_out\simulation\modelsim\work\flexible_lvds_rx\behavior.psm sin_out\simulation\modelsim\work\flexible_lvds_rx\_primary.dat sin_out\simulation\modelsim\work\flexible_lvds_tx\behavior.dat sin_out\simulation\modelsim\work\flexible_lvds_tx\behavior.psm sin_out\simulation\modelsim\work\flexible_lvds_tx\_primary.dat sin_out\simulation\modelsim\work\hssi_fifo\synchronizer.dat sin_out\simulation\modelsim\work\hssi_fifo\synchronizer.psm sin_out\simulation\modelsim\work\hssi_fifo\_primary.dat sin_out\simulation\modelsim\work\hssi_pll\behavior.dat sin_out\simulation\modelsim\work\hssi_pll\behavior.psm sin_out\simulation\modelsim\work\hssi_pll\_primary.dat sin_out\simulation\modelsim\work\hssi_rx\hssi_receiver.dat sin_out\simulation\modelsim\work\hssi_rx\hssi_receiver.psm sin_out\simulation\modelsim\work\hssi_rx\_primary.dat sin_out\simulation\modelsim\work\hssi_tx\transmitter.dat sin_out\simulation\modelsim\work\hssi_tx\transmitter.psm sin_out\simulation\modelsim\work\hssi_tx\_primary.dat sin_out\simulation\modelsim\work\lcell\behavior.dat sin_out\simulation\modelsim\work\lcell\behavior.psm sin_out\simulation\modelsim\work\lcell\_primary.dat sin_out\simulation\modelsim\work\mf_cda_mn_cntr\behave.dat sin_out\simulation\modelsim\work\mf_cda_mn_cntr\behave.psm sin_out\simulation\modelsim\work\mf_cda_mn_cntr\_primary.dat sin_out\simulation\modelsim\work\mf_cda_scale_cntr\behave.dat sin_out\simulation\modelsim\work\mf_cda_scale_cntr\behave.psm sin_out\simulation\modelsim\work\mf_cda_scale_cntr\_primary.dat sin_out\simulation\modelsim\work\mf_cycloneiii_pll\vital_pll.dat sin_out\simulation\modelsim\work\mf_cycloneiii_pll\vital_pll.psm sin_out\simulation\modelsim\work\mf_cycloneiii_pll\_primary.dat sin_out\simulation\modelsim\work\mf_m_cntr\behave.dat sin_out\simulation\modelsim\work\mf_m_cntr\behave.psm sin_out\simulation\modelsim\work\mf_m_cntr\_primary.dat sin_out\simulation\modelsim\work\mf_n_cntr\behave.dat sin_out\simulation\modelsim\work\mf_n_cntr\behave.psm sin_out\simulation\modelsim\work\mf_n_cntr\_primary.dat sin_out\simulation\modelsim\work\mf_pllpack\body.dat sin_out\simulation\modelsim\work\mf_pllpack\body.psm sin_out\simulation\modelsim\work\mf_pllpack\_primary.dat sin_out\simulation\modelsim\work\mf_pllpack\_vhdl.psm sin_out\simulation\modelsim\work\mf_pll_reg\behave.dat sin_out\simulation\modelsim\work\mf_pll_reg\behave.psm sin_out\simulation\modelsim\work\mf_pll_reg\_primary.dat sin_out\simulation\modelsim\work\mf_ram7x20_syn\hssi_ram7x20_syn.dat sin_out\simulation\modelsim\work\mf_ram7x20_syn\hssi_ram7x20_syn.psm sin_out\simulation\modelsim\work\mf_ram7x20_syn\_primary.dat sin_out\simulation\modelsim\work\mf_stratixiii_pll\vital_pll.dat sin_out\simulation\modelsim\work\mf_stratixiii_pll\vital_pll.psm sin_out\simulation\modelsim\work\mf_stratixiii_pll\_primary.dat sin_out\simulation\modelsim\work\mf_stratixii_pll\vital_pll.dat sin_out\simulation\modelsim\work\mf_stratixii_pll\vital_pll.psm sin_out\simulation\modelsim\work\mf_stratixii_pll\_primary.dat sin_out\simulation\modelsim\work\mf_stratix_pll\vital_pll.dat sin_out\simulation\modelsim\work\mf_stratix_pll\vital_pll.psm sin_out\simulation\modelsim\work\mf_stratix_pll\_primary.dat sin_out\simulation\modelsim\work\mf_ttn_mn_cntr\behave.dat sin_out\simulation\modelsim\work\mf_ttn_mn_cntr\behave.psm sin_out\simulation\modelsim\work\mf_ttn_mn_cntr\_primary.dat sin_out\simulation\modelsim\work\mf_ttn_scale_cntr\behave.dat sin_out\simulation\modelsim\work\mf_ttn_scale_cntr\behave.psm sin_out\simulation\modelsim\work\mf_ttn_scale_cntr\_primary.dat sin_out\simulation\modelsim\work\pll_iobuf\behavior.dat sin_out\simulation\modelsim\work\pll_iobuf\behavior.psm sin_out\simulation\modelsim\work\pll_iobuf\_primary.dat sin_out\simulation\modelsim\work\sin_out\structure.dat sin_out\simulation\modelsim\work\sin_out\structure.psm sin_out\simulation\modelsim\work\sin_out\_primary.dat sin_out\simulation\modelsim\work\stratixiii_lvds_rx\behavior.dat sin_out\simulation\modelsim\work\stratixiii_lvds_rx\behavior.psm sin_out\simulation\modelsim\work\stratixiii_lvds_rx\_primary.dat sin_out\simulation\modelsim\work\stratixiii_lvds_rx_channel\behavior.dat sin_out\simulation\modelsim\work\stratixiii_lvds_rx_channel\behavior.psm sin_out\simulation\modelsim\work\stratixiii_lvds_rx_channel\_primary.dat sin_out\simulation\modelsim\work\stratixiii_lvds_rx_dpa\behavior.dat sin_out\simulation\modelsim\work\stratixiii_lvds_rx_dpa\behavior.psm sin_out\simulation\modelsim\work\stratixiii_lvds_rx_dpa\_primary.dat sin_out\simulation\modelsim\work\stratixii_lvds_rx\behavior.dat sin_out\simulation\modelsim\work\stratixii_lvds_rx\behavior.psm sin_out\simulation\modelsim\work\stratixii_lvds_rx\_primary.dat sin_out\simulation\modelsim\work\stratixii_tx_outclk\behavior.dat sin_out\simulation\modelsim\work\stratixii_tx_outclk\behavior.psm sin_out\simulation\modelsim\work\stratixii_tx_outclk\_primary.dat sin_out\simulation\modelsim\work\stratix_tx_outclk\behavior.dat sin_out\simulation\modelsim\work\stratix_tx_outclk\behavior.psm sin_out\simulation\modelsim\work\stratix_tx_outclk\_primary.dat sin_out\simulation\modelsim\work\stx_scale_cntr\behave.dat sin_out\simulation\modelsim\work\stx_scale_cntr\behave.psm sin_out\simulation\modelsim\work\stx_scale_cntr\_primary.dat sin_out\simulation\modelsim\work\_info sin_out\sin_out.asm.rpt sin_out\sin_out.bdf sin_out\sin_out.done sin_out\sin_out.dpf sin_out\sin_out.eda.rpt sin_out\sin_out.fit.rpt sin_out\sin_out.fit.smsg sin_out\sin_out.fit.summary sin_out\sin_out.flow.rpt sin_out\sin_out.map.rpt sin_out\sin_out.map.summary sin_out\sin_out.pin sin_out\sin_out.pof sin_out\sin_out.qpf sin_out\sin_out.qsf sin_out\sin_out.qws sin_out\sin_out.sim.rpt sin_out\sin_out.sof sin_out\sin_out.tan.rpt sin_out\sin_out.tan.summary sin_out\sin_out.vhd.bak sin_out\sin_out.vwf sin_out\sin_out_description.txt sin_out\tz_out.bsf sin_out\tz_out.vhd sin_out\tz_out.vhd.bak sin_out\simulation\modelsim\work\alt3pram sin_out\simulation\modelsim\work\altaccumulate sin_out\simulation\modelsim\work\altcam sin_out\simulation\modelsim\work\altcdr_rx sin_out\simulation\modelsim\work\altcdr_tx sin_out\simulation\modelsim\work\altclklock sin_out\simulation\modelsim\work\altddio_bidir sin_out\simulation\modelsim\work\altddio_in sin_out\simulation\modelsim\work\altddio_out sin_out\simulation\modelsim\work\altdpram sin_out\simulation\modelsim\work\altera_common_conversion sin_out\simulation\modelsim\work\altera_device_families sin_out\simulation\modelsim\work\altera_mf_hint_evaluation sin_out\simulation\modelsim\work\altfp_mult sin_out\simulation\modelsim\work\altlvds_rx sin_out\simulation\modelsim\work\altlvds_tx sin_out\simulation\modelsim\work\altmult_accum sin_out\simulation\modelsim\work\altmult_add sin_out\simulation\modelsim\work\altpll sin_out\simulation\modelsim\work\altqpram sin_out\simulation\modelsim\work\altsqrt sin_out\simulation\modelsim\work\altsyncram sin_out\simulation\modelsim\work\arm_m_cntr sin_out\simulation\modelsim\work\arm_n_cntr sin_out\simulation\modelsim\work\arm_scale_cntr sin_out\simulation\modelsim\work\cyclone_and1 sin_out\simulation\modelsim\work\cyclone_asmiblock sin_out\simulation\modelsim\work\cyclone_asynch_io sin_out\simulation\modelsim\work\cyclone_asynch_lcell sin_out\simulation\modelsim\work\cyclone_atom_pack sin_out\simulation\modelsim\work\cyclone_crcblock sin_out\simulation\modelsim\work\cyclone_dffe sin_out\simulation\modelsim\work\cyclone_dll sin_out\simulation\modelsim\work\cyclone_io sin_out\simulation\modelsim\work\cyclone_jtag sin_out\simulation\modelsim\work\cyclone_lcell sin_out\simulation\modelsim\work\cyclone_lcell_register sin_out\simulation\modelsim\work\cyclone_mux21 sin_out\simulation\modelsim\work\cyclone_mux41 sin_out\simulation\modelsim\work\cyclone_m_cntr sin_out\simulation\modelsim\work\cyclone_n_cntr sin_out\simulation\modelsim\work\cyclone_pll sin_out\simulation\modelsim\work\cyclone_pllpack sin_out\simulation\modelsim\work\cyclone_pll_reg sin_out\simulation\modelsim\work\cyclone_ram_block sin_out\simulation\modelsim\work\cyclone_ram_pulse_generator sin_out\simulation\modelsim\work\cyclone_ram_register sin_out\simulation\modelsim\work\cyclone_routing_wire sin_out\simulation\modelsim\work\cyclone_scale_cntr sin_out\simulation\modelsim\work\dffp sin_out\simulation\modelsim\work\flexible_lvds_rx sin_out\simulation\modelsim\work\flexible_lvds_tx sin_out\simulation\modelsim\work\hssi_fifo sin_out\simulation\modelsim\work\hssi_pll sin_out\simulation\modelsim\work\hssi_rx sin_out\simulation\modelsim\work\hssi_tx sin_out\simulation\modelsim\work\lcell sin_out\simulation\modelsim\work\mf_cda_mn_cntr sin_out\simulation\modelsim\work\mf_cda_scale_cntr sin_out\simulation\modelsim\work\mf_cycloneiii_pll sin_out\simulation\modelsim\work\mf_m_cntr sin_out\simulation\modelsim\work\mf_n_cntr sin_out\simulation\modelsim\work\mf_pllpack sin_out\simulation\modelsim\work\mf_pll_reg sin_out\simulation\modelsim\work\mf_ram7x20_syn sin_out\simulation\modelsim\work\mf_stratixiii_pll sin_out\simulation\modelsim\work\mf_stratixii_pll sin_out\simulation\modelsim\work\mf_stratix_pll sin_out\simulation\modelsim\work\mf_ttn_mn_cntr sin_out\simulation\modelsim\work\mf_ttn_scale_cntr sin_out\simulation\modelsim\work\pll_iobuf sin_out\simulation\modelsim\work\sin_out sin_out\simulation\modelsim\work\stratixiii_lvds_rx sin_out\simulation\modelsim\work\stratixiii_lvds_rx_channel sin_out\simulation\modelsim\work\stratixiii_lvds_rx_dpa sin_out\simulation\modelsim\work\stratixii_lvds_rx sin_out\simulation\modelsim\work\stratixii_tx_outclk sin_out\simulation\modelsim\work\stratix_tx_outclk sin_out\simulation\modelsim\work\stx_scale_cntr sin_out\simulation\modelsim\work sin_out\simulation\modelsim sin_out\db sin_out\simulation sin_out