文件名称:firfilter
介绍说明--下载内容均来自于网络,请自行研究使用
实现一个FIR滤波器,基于直接型型算法
输入数据宽度:8位
输出数据宽度:16位
阶数:16阶
滤波器经转换后(右移16位)的特征参数为:
h[0]=h[15]=0000
h[1]=h[14]=0065
h[2]=h[13]=018F
h[3]=h[12]=035A
h[4]=h[11]=0579
h[5]=h[10]=078E
h[6]=h[9]=0935
h[7]=h[8]=0A1F
输入数据宽度:8位
输出数据宽度:16位
阶数:16阶
滤波器经转换后(右移16位)的特征参数为:
h[0]=h[15]=0000
h[1]=h[14]=0065
h[2]=h[13]=018F
h[3]=h[12]=035A
h[4]=h[11]=0579
h[5]=h[10]=078E
h[6]=h[9]=0935
h[7]=h[8]=0A1F
(系统自动生成,下载前可以参看下载内容)
下载文件列表
压缩包 : 23825749firfilter.rar 列表 firfilter\fir_in.salt firfilter\firfilter.qpf firfilter\firfilter.qsf firfilter\db\mult_r7q.tdf firfilter\db\firfilter.db_info firfilter\db\firfilter.signalprobe.cdb firfilter\db\firfilter.sim.qmsg firfilter\db\firfilter.(0).cnf.cdb firfilter\db\firfilter.(0).cnf.hdb firfilter\db\firfilter.cbx.xml firfilter\db\firfilter.fit.qmsg firfilter\db\prev_cmp_firfilter.map.qmsg firfilter\db\firfilter.sim.hdb firfilter\db\firfilter.asm.qmsg firfilter\db\firfilter.tan.qmsg firfilter\db\firfilter.map.qmsg firfilter\db\firfilter.(28).cnf.cdb firfilter\db\firfilter.(28).cnf.hdb firfilter\db\firfilter.hif firfilter\db\firfilter.(29).cnf.cdb firfilter\db\firfilter.(29).cnf.hdb firfilter\db\firfilter.hier_info firfilter\db\firfilter.rtlv_sg_swap.cdb firfilter\db\firfilter.pre_map.cdb firfilter\db\firfilter.(30).cnf.cdb firfilter\db\firfilter.map_bb.logdb firfilter\db\firfilter.sgdiff.cdb firfilter\db\firfilter.sgdiff.hdb firfilter\db\firfilter.psp firfilter\db\firfilter.dbp firfilter\db\firfilter.pss firfilter\db\firfilter.(1).cnf.cdb firfilter\db\firfilter.(1).cnf.hdb firfilter\db\firfilter.(2).cnf.cdb firfilter\db\firfilter.(2).cnf.hdb firfilter\db\firfilter.(3).cnf.cdb firfilter\db\firfilter.(3).cnf.hdb firfilter\db\add_sub_7dh.tdf firfilter\db\firfilter.(4).cnf.cdb firfilter\db\firfilter.(4).cnf.hdb firfilter\db\firfilter.(5).cnf.cdb firfilter\db\firfilter.(5).cnf.hdb firfilter\db\firfilter.(6).cnf.cdb firfilter\db\firfilter.(6).cnf.hdb firfilter\db\add_sub_bdh.tdf firfilter\db\firfilter.(7).cnf.cdb firfilter\db\firfilter.(7).cnf.hdb firfilter\db\firfilter.(8).cnf.cdb firfilter\db\firfilter.(8).cnf.hdb firfilter\db\firfilter.(9).cnf.cdb firfilter\db\firfilter.(9).cnf.hdb firfilter\db\firfilter.(10).cnf.cdb firfilter\db\firfilter.(10).cnf.hdb firfilter\db\firfilter.(11).cnf.cdb firfilter\db\firfilter.(11).cnf.hdb firfilter\db\firfilter.(12).cnf.cdb firfilter\db\firfilter.(12).cnf.hdb firfilter\db\add_sub_6dh.tdf firfilter\db\firfilter.(13).cnf.cdb firfilter\db\firfilter.(13).cnf.hdb firfilter\db\firfilter.(14).cnf.cdb firfilter\db\firfilter.(14).cnf.hdb firfilter\db\firfilter.(15).cnf.cdb firfilter\db\firfilter.(15).cnf.hdb firfilter\db\add_sub_adh.tdf firfilter\db\firfilter.(16).cnf.cdb firfilter\db\firfilter.(16).cnf.hdb firfilter\db\firfilter.(17).cnf.cdb firfilter\db\firfilter.(17).cnf.hdb firfilter\db\firfilter.(18).cnf.cdb firfilter\db\firfilter.(18).cnf.hdb firfilter\db\firfilter.(19).cnf.cdb firfilter\db\firfilter.(19).cnf.hdb firfilter\db\firfilter.(20).cnf.cdb firfilter\db\firfilter.(20).cnf.hdb firfilter\db\firfilter.(21).cnf.cdb firfilter\db\firfilter.(21).cnf.hdb firfilter\db\add_sub_4dh.tdf firfilter\db\firfilter.(22).cnf.cdb firfilter\db\firfilter.(22).cnf.hdb firfilter\db\firfilter.(23).cnf.cdb firfilter\db\firfilter.(23).cnf.hdb firfilter\db\firfilter.(24).cnf.cdb firfilter\db\firfilter.(24).cnf.hdb firfilter\db\add_sub_8dh.tdf firfilter\db\firfilter.(25).cnf.cdb firfilter\db\firfilter.(25).cnf.hdb firfilter\db\firfilter.(26).cnf.cdb firfilter\db\firfilter.(26).cnf.hdb firfilter\db\firfilter.(27).cnf.cdb firfilter\db\firfilter.(27).cnf.hdb firfilter\db\firfilter.cmp.logdb firfilter\db\firfilter.sim.cvwf firfilter\db\firfilter.rtlv.hdb firfilter\db\firfilter.(30).cnf.hdb firfilter\db\firfilter.syn_hier_info firfilter\db\firfilter.pre_map.hdb firfilter\db\firfilter.asm_labs.ddb firfilter\db\firfilter.cmp.bpm firfilter\db\firfilter.rtlv_sg.cdb firfilter\db\firfilter.map.ecobp firfilter\db\firfilter.sld_design_entry_dsc.sci firfilter\db\firfilter.map_bb.cdb firfilter\db\firfilter.map_bb.hdb firfilter\db\firfilter.map.logdb firfilter\db\firfilter.sim.rdb firfilter\db\firfilter.map.cdb firfilter\db\firfilter.map.hdb firfilter\db\firfilter.map.bpm firfilter\db\firfilter.cmp.ecobp firfilter\db\firfilter.cmp_bb.logdb firfilter\db\firfilter.eco.cdb firfilter\db\prev_cmp_firfilter.sim.qmsg firfilter\db\firfilter.sta.qmsg firfilter\db\firfilter.cmp.tdb firfilter\db\prev_cmp_firfilter.fit.qmsg firfilter\db\prev_cmp_firfilter.asm.qmsg firfilter\db\prev_cmp_firfilter.tan.qmsg firfilter\db\firfilter.cmp_bb.rcf firfilter\db\firfilter.cmp0.ddb firfilter\db\firfilter.cmp.hdb firfilter\db\firfilter.cmp_bb.hdb firfilter\db\firfilter.cmp.rdb firfilter\db\firfilter.cmp_bb.cdb firfilter\db\firfilter.cmp.cdb firfilter\db\firfilter.sta.rdb firfilter\db\prev_cmp_firfilter.sta.qmsg firfilter\db\firfilter.sld_design_entry.sci firfilter\db\firfilter.eds_overflow firfilter\db\wed.wsf firfilter\firfilter.map.summary firfilter\firfilter.map.smsg firfilter\firfilter.pin firfilter\firfilter.fit.smsg firfilter\firfilter.fit.summary firfilter\firfilter.sof firfilter\firfilter.pof firfilter\firfilter.tan.summary firfilter\firfilter.done firfilter\firfilter.vwf firfilter\fir_out.txt firfilter\tb_firfilter.v.bak firfilter\220model.v firfilter\mult.v firfilter\tb_firfilter.v firfilter\work\_info firfilter\work\firfilter\_primary.vhd firfilter\work\firfilter\verilog.asm firfilter\work\firfilter\_primary.dat firfilter\work\tb_firfilter\_primary.vhd firfilter\work\tb_firfilter\verilog.asm firfilter\work\tb_firfilter\_primary.dat firfilter\work\mult\_primary.vhd firfilter\work\mult\verilog.asm firfilter\work\mult\_primary.dat firfilter\work\@l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n\_primary.vhd firfilter\work\@l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n\verilog.asm firfilter\work\@l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n\_primary.dat firfilter\work\@l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n\_primary.vhd firfilter\work\@l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n\verilog.asm firfilter\work\@l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n\_primary.dat firfilter\work\@l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s\_primary.vhd firfilter\work\@l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s\verilog.asm firfilter\work\@l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s\_primary.dat firfilter\work\lpm_constant\_primary.vhd firfilter\work\lpm_constant\verilog.asm firfilter\work\lpm_constant\_primary.dat firfilter\work\lpm_inv\_primary.vhd firfilter\work\lpm_inv\verilog.asm firfilter\work\lpm_inv\_primary.dat firfilter\work\lpm_and\_primary.vhd firfilter\work\lpm_and\verilog.asm firfilter\work\lpm_and\_primary.dat firfilter\work\lpm_or\_primary.vhd firfilter\work\lpm_or\verilog.asm firfilter\work\lpm_or\_primary.dat firfilter\work\lpm_xor\_primary.vhd firfilter\work\lpm_xor\verilog.asm firfilter\work\lpm_xor\_primary.dat firfilter\work\lpm_bustri\_primary.vhd firfilter\work\lpm_bustri\verilog.asm firfilter\work\lpm_bustri\_primary.dat firfilter\work\lpm_mux\_primary.vhd firfilter\work\lpm_mux\verilog.asm firfilter\work\lpm_mux\_primary.dat firfilter\work\lpm_decode\_primary.vhd firfilter\work\lpm_decode\verilog.asm firfilter\work\lpm_decode\_primary.dat firfilter\work\lpm_clshift\_primary.vhd firfilter\work\lpm_clshift\verilog.asm firfilter\work\lpm_clshift\_primary.dat firfilter\work\lpm_add_sub\_primary.vhd firfilter\work\lpm_add_sub\verilog.asm firfilter\work\lpm_add_sub\_primary.dat firfilter\work\lpm_compare\_primary.vhd firfilter\work\lpm_compare\verilog.asm firfilter\work\lpm_compare\_primary.dat firfilter\work\lpm_mult\_primary.vhd firfilter\work\lpm_mult\verilog.asm firfilter\work\lpm_mult\_primary.dat firfilter\work\lpm_divide\_primary.vhd firfilter\work\lpm_divide\verilog.asm firfilter\work\lpm_divide\_primary.dat firfilter\work\lpm_abs\_primary.vhd firfilter\work\lpm_abs\verilog.asm firfilter\work\lpm_abs\_primary.dat firfilter\work\lpm_counter\_primary.vhd firfilter\work\lpm_counter\verilog.asm firfilter\work\lpm_counter\_primary.dat firfilter\work\lpm_latch\_primary.vhd firfilter\work\lpm_latch\verilog.asm firfilter\work\lpm_latch\_primary.dat firfilter\work\lpm_ff\_primary.vhd firfilter\work\lpm_ff\verilog.asm firfilter\work\lpm_ff\_primary.dat firfilter\work\lpm_shiftreg\_primary.vhd firfilter\work\lpm_shiftreg\verilog.asm firfilter\work\lpm_shiftreg\_primary.dat firfilter\work\lpm_ram_dq\_primary.vhd firfilter\work\lpm_ram_dq\verilog.asm firfilter\work\lpm_ram_dq\_primary.dat firfilter\work\lpm_ram_dp\_primary.vhd firfilter\work\lpm_ram_dp\verilog.asm firfilter\work\lpm_ram_dp\_primary.dat firfilter\work\lpm_ram_io\_primary.vhd firfilter\work\lpm_ram_io\verilog.asm firfilter\work\lpm_ram_io\_primary.dat firfilter\work\lpm_rom\_primary.vhd firfilter\work\lpm_rom\verilog.asm firfilter\work\lpm_rom\_primary.dat firfilter\work\lpm_fifo\_primary.vhd firfilter\work\lpm_fifo\verilog.asm firfilter\work\lpm_fifo\_primary.dat firfilter\work\lpm_fifo_dc_dffpipe\_primary.vhd firfilter\work\lpm_fifo_dc_dffpipe\verilog.asm firfilter\work\lpm_fifo_dc_dffpipe\_primary.dat firfilter\work\lpm_fifo_dc_fefifo\_primary.vhd firfilter\work\lpm_fifo_dc_fefifo\verilog.asm firfilter\work\lpm_fifo_dc_fefifo\_primary.dat firfilter\work\lpm_fifo_dc_async\_primary.vhd firfilter\work\lpm_fifo_dc_async\verilog.asm firfilter\work\lpm_fifo_dc_async\_primary.dat firfilter\work\lpm_fifo_dc\_primary.vhd firfilter\work\lpm_fifo_dc\verilog.asm firfilter\work\lpm_fifo_dc\_primary.dat firfilter\work\lpm_inpad\_primary.vhd firfilter\work\lpm_inpad\verilog.asm firfilter\work\lpm_inpad\_primary.dat firfilter\work\lpm_outpad\_primary.vhd firfilter\work\lpm_outpad\verilog.asm firfilter\work\lpm_outpad\_primary.dat firfilter\work\lpm_bipad\_primary.vhd firfilter\work\lpm_bipad\verilog.asm firfilter\work\lpm_bipad\_primary.dat firfilter\vsim.wlf firfilter\firfilter.fit.rpt firfilter\firfilter.asm.rpt firfilter\firfilter.sim.rpt firfilter\firfilter.sdc firfilter\firfilter.sta.summary firfilter\firfilter.sta.rpt firfilter\prev_cmp_firfilter.qmsg firfilter\firfilter.tan.rpt firfilter\firfilter.flow.rpt firfilter\vish_stacktrace.vstf firfilter\firfilter.qws firfilter\firfilter.v.bak firfilter\firfilter.v firfilter\work\firfilter firfilter\work\tb_firfilter firfilter\work\mult firfilter\work\@l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n firfilter\work\@l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n firfilter\work\@l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s firfilter\work\lpm_constant firfilter\work\lpm_inv firfilter\work\lpm_and firfilter\work\lpm_or firfilter\work\lpm_xor firfilter\work\lpm_bustri firfilter\work\lpm_mux firfilter\work\lpm_decode firfilter\work\lpm_clshift firfilter\work\lpm_add_sub firfilter\work\lpm_compare firfilter\work\lpm_mult firfilter\work\lpm_divide firfilter\work\lpm_abs firfilter\work\lpm_counter firfilter\work\lpm_latch firfilter\work\lpm_ff firfilter\work\lpm_shiftreg firfilter\work\lpm_ram_dq firfilter\work\lpm_ram_dp firfilter\work\lpm_ram_io firfilter\work\lpm_rom firfilter\work\lpm_fifo firfilter\work\lpm_fifo_dc_dffpipe firfilter\work\lpm_fifo_dc_fefifo firfilter\work\lpm_fifo_dc_async firfilter\work\lpm_fifo_dc firfilter\work\lpm_inpad firfilter\work\lpm_outpad firfilter\work\lpm_bipad firfilter\db firfilter\work firfilter