文件名称:asynch_fifo

  • 所属分类:
  • 其它资源
  • 资源属性:
  • [WORD]
  • 上传时间:
  • 2008-10-13
  • 文件大小:
  • 1003.91kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • ali***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

FPGA VERILOG 用DCFIFO实现 跨时钟域的数据传输,已验证,直接可用
(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : 107215809asynch_fifo.rar 列表
asynch_fifo\asynch_fifo\asyn_fifo.asm.rpt
asynch_fifo\asynch_fifo\asyn_fifo.done
asynch_fifo\asynch_fifo\asyn_fifo.eda.rpt
asynch_fifo\asynch_fifo\asyn_fifo.fit.rpt
asynch_fifo\asynch_fifo\asyn_fifo.fit.smsg
asynch_fifo\asynch_fifo\asyn_fifo.fit.summary
asynch_fifo\asynch_fifo\asyn_fifo.flow.rpt
asynch_fifo\asynch_fifo\asyn_fifo.map.rpt
asynch_fifo\asynch_fifo\asyn_fifo.map.smsg
asynch_fifo\asynch_fifo\asyn_fifo.map.summary
asynch_fifo\asynch_fifo\asyn_fifo.pin
asynch_fifo\asynch_fifo\asyn_fifo.pof
asynch_fifo\asynch_fifo\asyn_fifo.qpf
asynch_fifo\asynch_fifo\asyn_fifo.qsf
asynch_fifo\asynch_fifo\asyn_fifo.sim.rpt
asynch_fifo\asynch_fifo\asyn_fifo.sof
asynch_fifo\asynch_fifo\asyn_fifo.tan.rpt
asynch_fifo\asynch_fifo\asyn_fifo.tan.summary
asynch_fifo\asynch_fifo\asyn_fifo.v
asynch_fifo\asynch_fifo\asyn_fifo.v.bak
asynch_fifo\asynch_fifo\db\altsyncram_3nu.tdf
asynch_fifo\asynch_fifo\db\altsyncram_9ve1.tdf
asynch_fifo\asynch_fifo\db\altsyncram_ebc1.tdf
asynch_fifo\asynch_fifo\db\altsyncram_to61.tdf
asynch_fifo\asynch_fifo\db\alt_synch_pipe_1e8.tdf
asynch_fifo\asynch_fifo\db\alt_synch_pipe_kv7.tdf
asynch_fifo\asynch_fifo\db\alt_synch_pipe_lv7.tdf
asynch_fifo\asynch_fifo\db\alt_synch_pipe_tdb.tdf
asynch_fifo\asynch_fifo\db\asyn_fifo.(0).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(0).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(1).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(1).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(10).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(10).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(11).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(11).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(12).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(12).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(13).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(13).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(14).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(14).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(15).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(15).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(16).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(16).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(17).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(17).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(18).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(18).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(19).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(19).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(2).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(2).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(20).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(20).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(21).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(21).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(22).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(22).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(23).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(23).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(24).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(24).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(25).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(25).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(26).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(26).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(27).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(27).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(28).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(28).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(29).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(29).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(3).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(3).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(30).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(30).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(31).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(31).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(32).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(32).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(4).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(4).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(5).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(5).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(6).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(6).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(7).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(7).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(8).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(8).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(9).cnf.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.(9).cnf.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.asm.qmsg
asynch_fifo\asynch_fifo\db\asyn_fifo.asm_labs.ddb
asynch_fifo\asynch_fifo\db\asyn_fifo.cbx.xml
asynch_fifo\asynch_fifo\db\asyn_fifo.cmp.bpm
asynch_fifo\asynch_fifo\db\asyn_fifo.cmp.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.cmp.ecobp
asynch_fifo\asynch_fifo\db\asyn_fifo.cmp.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.cmp.logdb
asynch_fifo\asynch_fifo\db\asyn_fifo.cmp.rdb
asynch_fifo\asynch_fifo\db\asyn_fifo.cmp.tdb
asynch_fifo\asynch_fifo\db\asyn_fifo.cmp0.ddb
asynch_fifo\asynch_fifo\db\asyn_fifo.cmp2.ddb
asynch_fifo\asynch_fifo\db\asyn_fifo.db_info
asynch_fifo\asynch_fifo\db\asyn_fifo.eco.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.eda.qmsg
asynch_fifo\asynch_fifo\db\asyn_fifo.eds_overflow
asynch_fifo\asynch_fifo\db\asyn_fifo.fit.qmsg
asynch_fifo\asynch_fifo\db\asyn_fifo.hier_info
asynch_fifo\asynch_fifo\db\asyn_fifo.hif
asynch_fifo\asynch_fifo\db\asyn_fifo.map.bpm
asynch_fifo\asynch_fifo\db\asyn_fifo.map.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.map.ecobp
asynch_fifo\asynch_fifo\db\asyn_fifo.map.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.map.logdb
asynch_fifo\asynch_fifo\db\asyn_fifo.map.qmsg
asynch_fifo\asynch_fifo\db\asyn_fifo.map_bb.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.map_bb.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.map_bb.hdbx
asynch_fifo\asynch_fifo\db\asyn_fifo.map_bb.logdb
asynch_fifo\asynch_fifo\db\asyn_fifo.pre_map.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.pre_map.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.psp
asynch_fifo\asynch_fifo\db\asyn_fifo.root_partition.cmp.atm
asynch_fifo\asynch_fifo\db\asyn_fifo.root_partition.cmp.dfp
asynch_fifo\asynch_fifo\db\asyn_fifo.root_partition.cmp.hdbx
asynch_fifo\asynch_fifo\db\asyn_fifo.root_partition.cmp.logdb
asynch_fifo\asynch_fifo\db\asyn_fifo.root_partition.cmp.rcf
asynch_fifo\asynch_fifo\db\asyn_fifo.root_partition.map.atm
asynch_fifo\asynch_fifo\db\asyn_fifo.root_partition.map.hdbx
asynch_fifo\asynch_fifo\db\asyn_fifo.root_partition.map.info
asynch_fifo\asynch_fifo\db\asyn_fifo.rpp.qmsg
asynch_fifo\asynch_fifo\db\asyn_fifo.rtlv.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.rtlv_sg.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.rtlv_sg_swap.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.sgate.rvd
asynch_fifo\asynch_fifo\db\asyn_fifo.sgate_sm.rvd
asynch_fifo\asynch_fifo\db\asyn_fifo.sgdiff.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.sgdiff.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.signalprobe.cdb
asynch_fifo\asynch_fifo\db\asyn_fifo.sim.cvwf
asynch_fifo\asynch_fifo\db\asyn_fifo.sim.hdb
asynch_fifo\asynch_fifo\db\asyn_fifo.sim.qmsg
asynch_fifo\asynch_fifo\db\asyn_fifo.sim.rdb
asynch_fifo\asynch_fifo\db\asyn_fifo.sld_design_entry.sci
asynch_fifo\asynch_fifo\db\asyn_fifo.sld_design_entry_dsc.sci
asynch_fifo\asynch_fifo\db\asyn_fifo.smp_dump.txt
asynch_fifo\asynch_fifo\db\asyn_fifo.syn_hier_info
asynch_fifo\asynch_fifo\db\asyn_fifo.tan.qmsg
asynch_fifo\asynch_fifo\db\asyn_fifo.tis_db_list.ddb
asynch_fifo\asynch_fifo\db\asyn_fifo.tmw_info
asynch_fifo\asynch_fifo\db\a_gray2bin_odb.tdf
asynch_fifo\asynch_fifo\db\a_graycounter_g2c.tdf
asynch_fifo\asynch_fifo\db\a_graycounter_ggc.tdf
asynch_fifo\asynch_fifo\db\a_graycounter_h2c.tdf
asynch_fifo\asynch_fifo\db\a_graycounter_hgc.tdf
asynch_fifo\asynch_fifo\db\a_graycounter_q96.tdf
asynch_fifo\asynch_fifo\db\a_graycounter_s96.tdf
asynch_fifo\asynch_fifo\db\dcfifo_10i1.tdf
asynch_fifo\asynch_fifo\db\dcfifo_qne1.tdf
asynch_fifo\asynch_fifo\db\decode_o37.tdf
asynch_fifo\asynch_fifo\db\dffpipe_c2e.tdf
asynch_fifo\asynch_fifo\db\dffpipe_d09.tdf
asynch_fifo\asynch_fifo\db\dffpipe_e09.tdf
asynch_fifo\asynch_fifo\db\dffpipe_f09.tdf
asynch_fifo\asynch_fifo\db\dffpipe_ngh.tdf
asynch_fifo\asynch_fifo\db\dffpipe_re9.tdf
asynch_fifo\asynch_fifo\db\dffpipe_se9.tdf
asynch_fifo\asynch_fifo\db\mux_8u7.tdf
asynch_fifo\asynch_fifo\db\prev_cmp_asyn_fifo.asm.qmsg
asynch_fifo\asynch_fifo\db\prev_cmp_asyn_fifo.eda.qmsg
asynch_fifo\asynch_fifo\db\prev_cmp_asyn_fifo.fit.qmsg
asynch_fifo\asynch_fifo\db\prev_cmp_asyn_fifo.map.qmsg
asynch_fifo\asynch_fifo\db\prev_cmp_asyn_fifo.qmsg
asynch_fifo\asynch_fifo\db\prev_cmp_asyn_fifo.sim.qmsg
asynch_fifo\asynch_fifo\db\prev_cmp_asyn_fifo.tan.qmsg
asynch_fifo\asynch_fifo\db\wed.wsf
asynch_fifo\asynch_fifo\db
asynch_fifo\asynch_fifo\dcfifo0.bsf
asynch_fifo\asynch_fifo\dcfifo0.qip
asynch_fifo\asynch_fifo\dcfifo0.v
asynch_fifo\asynch_fifo\dcfifo0_bb.v
asynch_fifo\asynch_fifo\dcfifo0_syn.v
asynch_fifo\asynch_fifo\dcfifo0_wave0.jpg
asynch_fifo\asynch_fifo\dcfifo0_wave1.jpg
asynch_fifo\asynch_fifo\dcfifo0_waveforms.html
asynch_fifo\asynch_fifo\rdreq_gen.v.bak
asynch_fifo\asynch_fifo\read_control_logic.v
asynch_fifo\asynch_fifo\read_control_logic.v.bak
asynch_fifo\asynch_fifo\simulation\modelsim\asyn_fifo.sft
asynch_fifo\asynch_fifo\simulation\modelsim\asyn_fifo.vo
asynch_fifo\asynch_fifo\simulation\modelsim\asyn_fifo_modelsim.xrf
asynch_fifo\asynch_fifo\simulation\modelsim\asyn_fifo_v.sdo
asynch_fifo\asynch_fifo\simulation\modelsim
asynch_fifo\asynch_fifo\simulation
asynch_fifo\asynch_fifo\Waveform1.vwf
asynch_fifo\asynch_fifo\write_control_logic.v
asynch_fifo\asynch_fifo\write_control_logic.v.bak
asynch_fifo\asynch_fifo\跨时钟域接口波形仿真.doc
asynch_fifo\asynch_fifo
asynch_fifo

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org