文件名称:Verilog

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • 上传时间:
  • 2013-06-10
  • 文件大小:
  • 68kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 陈**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

virtex-5 库声明代码 verilog版本 包含完整的原语实例化代码-virtex-5 library declaration code verilog version contains the complete primitive instantiation code
(系统自动生成,下载前可以参看下载内容)

下载文件列表





Verilog

.......\ADDSUB_MACRO.veo

.......\BRAM_SDP_MACRO.veo

.......\BRAM_SINGLE_MACRO.veo

.......\BRAM_TDP_MACRO.veo

.......\BSCAN_VIRTEX5.veo

.......\BUFCF.veo

.......\BUFG.veo

.......\BUFGCE.veo

.......\BUFGCE_1.veo

.......\BUFGCTRL.veo

.......\BUFGMUX_CTRL.veo

.......\BUFIO.veo

.......\BUFR.veo

.......\CAPTURE_VIRTEX5.veo

.......\CARRY4.veo

.......\CFGLUT5.veo

.......\COUNTER_LOAD_MACRO.veo

.......\COUNTER_TC_MACRO.veo

.......\DCIRESET.veo

.......\DCM_ADV.veo

.......\DCM_BASE.veo

.......\DCM_PS.veo

.......\DSP48E.veo

.......\EQ_COMPARE_MACRO.veo

.......\FDCE.veo

.......\FDCPE.veo

.......\FDPE.veo

.......\FDRE.veo

.......\FDRSE.veo

.......\FDSE.veo

.......\FIFO18.veo

.......\FIFO18_36.veo

.......\FIFO36.veo

.......\FIFO36_72.veo

.......\FIFO_DUALCLOCK_MACRO.veo

.......\FIFO_SYNC_MACRO.veo

.......\FRAME_ECC_VIRTEX5.veo

.......\IBUF.veo

.......\IBUFDS.veo

.......\IBUFG.veo

.......\IBUFGDS.veo

.......\ICAP_VIRTEX5.veo

.......\IDDR.veo

.......\IDDR_2CLK.veo

.......\IDELAYCTRL.veo

.......\IOBUF.veo

.......\IOBUFDS.veo

.......\IODELAY.veo

.......\ISERDES.veo

.......\ISERDES_NODELAY.veo

.......\JTAG_SIM_VIRTEX5.veo

.......\KEEPER.veo

.......\KEY_CLEAR.veo

.......\LDCE.veo

.......\LDCPE.veo

.......\LDPE.veo

.......\LUT1.veo

.......\LUT1_D.veo

.......\LUT1_L.veo

.......\LUT2.veo

.......\LUT2_D.veo

.......\LUT2_L.veo

.......\LUT3.veo

.......\LUT3_D.veo

.......\LUT3_L.veo

.......\LUT4.veo

.......\LUT4_D.veo

.......\LUT4_L.veo

.......\LUT5.veo

.......\LUT5_D.veo

.......\LUT5_L.veo

.......\LUT6.veo

.......\LUT6_2.veo

.......\LUT6_D.veo

.......\LUT6_L.veo

.......\MACC_MACRO.veo

.......\MULT_MACRO.veo

.......\MUXF7.veo

.......\MUXF7_D.veo

.......\MUXF7_L.veo

.......\MUXF8.veo

.......\MUXF8_D.veo

.......\MUXF8_L.veo

.......\OBUF.veo

.......\OBUFDS.veo

.......\OBUFT.veo

.......\OBUFTDS.veo

.......\ODDR.veo

.......\OSERDES.veo

.......\PLL_ADV.veo

.......\PLL_BASE.veo

.......\PULLDOWN.veo

.......\PULLUP.veo

.......\RAM128X1D.veo

.......\RAM128X1S.veo

.......\RAM128X1S_1.veo

.......\RAM256X1S.veo

.......\RAM32M.veo

.......\RAM32X1D.veo

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org