文件名称:VHDL
介绍说明--下载内容均来自于网络,请自行研究使用
virtex-5 库声明代码 VHDL版本 完整的原语示例代码-virtex-5 library declaration versions of the complete VHDL code sample code primitives
(系统自动生成,下载前可以参看下载内容)
下载文件列表
VHDL
....\ADDSUB_MACRO.vho
....\BRAM_SDP_MACRO.vho
....\BRAM_SINGLE_MACRO.vho
....\BRAM_TDP_MACRO.vho
....\BSCAN_VIRTEX5.vho
....\BUFCF.vho
....\BUFG.vho
....\BUFGCE.vho
....\BUFGCE_1.vho
....\BUFGCTRL.vho
....\BUFGMUX_CTRL.vho
....\BUFIO.vho
....\BUFR.vho
....\CAPTURE_VIRTEX5.vho
....\CARRY4.vho
....\CFGLUT5.vho
....\COUNTER_LOAD_MACRO.vho
....\COUNTER_TC_MACRO.vho
....\DCIRESET.vho
....\DCM_ADV.vho
....\DCM_BASE.vho
....\DCM_PS.vho
....\DSP48E.vho
....\EQ_COMPARE_MACRO.vho
....\FDCE.vho
....\FDCPE.vho
....\FDPE.vho
....\FDRE.vho
....\FDRSE.vho
....\FDSE.vho
....\FIFO18.vho
....\FIFO18_36.vho
....\FIFO36.vho
....\FIFO36_72.vho
....\FIFO_DUALCLOCK_MACRO.vho
....\FIFO_SYNC_MACRO.vho
....\FRAME_ECC_VIRTEX5.vho
....\IBUF.vho
....\IBUFDS.vho
....\IBUFG.vho
....\IBUFGDS.vho
....\ICAP_VIRTEX5.vho
....\IDDR.vho
....\IDDR_2CLK.vho
....\IDELAYCTRL.vho
....\IOBUF.vho
....\IOBUFDS.vho
....\IODELAY.vho
....\ISERDES.vho
....\ISERDES_NODELAY.vho
....\JTAG_SIM_VIRTEX5.vho
....\KEEPER.vho
....\KEY_CLEAR.vho
....\LDCE.vho
....\LDCPE.vho
....\LDPE.vho
....\LUT1.vho
....\LUT1_D.vho
....\LUT1_L.vho
....\LUT2.vho
....\LUT2_D.vho
....\LUT2_L.vho
....\LUT3.vho
....\LUT3_D.vho
....\LUT3_L.vho
....\LUT4.vho
....\LUT4_D.vho
....\LUT4_L.vho
....\LUT5.vho
....\LUT5_D.vho
....\LUT5_L.vho
....\LUT6.vho
....\LUT6_2.vho
....\LUT6_D.vho
....\LUT6_L.vho
....\MACC_MACRO.vho
....\MULT_MACRO.vho
....\MUXF7.vho
....\MUXF7_D.vho
....\MUXF7_L.vho
....\MUXF8.vho
....\MUXF8_D.vho
....\MUXF8_L.vho
....\OBUF.vho
....\OBUFDS.vho
....\OBUFT.vho
....\OBUFTDS.vho
....\ODDR.vho
....\OSERDES.vho
....\PLL_ADV.vho
....\PLL_BASE.vho
....\PULLDOWN.vho
....\PULLUP.vho
....\RAM128X1D.vho
....\RAM128X1S.vho
....\RAM128X1S_1.vho
....\RAM256X1S.vho
....\RAM32M.vho
....\RAM32X1D.vho