资源列表

« 1 2 ... .72 .73 .74 .75 .76 377.78 .79 .80 .81 .82 ... 3005 »

[汇编语言flash

说明:程式實現可自編程flash測試功能,包括擦除、讀、寫和驗證。-The code can test ssp flash. Include erase, read, write and check.
<xht> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[汇编语言clock

说明:利用8253显示时间,包括时,分,秒,显示在显示器上-show time on the monitor
<buaacyeah> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[汇编语言exchange

说明:一个用汇编语言开发的图像转换程序。X86-Assembly
<zdf> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[汇编语言blocks

说明:循环入栈 循环入栈 循环入栈 循环入栈-sequential stacked job
<刘备> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[汇编语言MIT

说明:判断用户输入的n个数字中的最大值,并将最大值存入dx寄存器中,将最大值的地址存在AX寄存器中-It is a program that calculate the max number in the a string of number you entered,and save the max at the dx register,sava it s address at the ax register
<zhangzheng> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[汇编语言5_13

说明:在 STRING 到STRING+99 单元中存放着一个字符串,试编制程序测试该字 符串中是否存在数字。如有,则把CL 的第5 位置1,否则将该位置0。-STRING~STRING+99 is a string of charcaters. If there exists a number,then set the 5th of register CL "1" else set "0"
<zhangxin> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[汇编语言digitalclock

说明:八段数码管的数字钟汇编代码,能够实现秒钟自动加一,有时,分,秒按键的校时功能。-the asm of digital clock,and you can correct the time by clicking the button.
<董涛> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[汇编语言beibao

说明:假设有一个能装入总体积为T的背包和n件体积分别为w1 , w2 , … , wn 的物品,能否从n件物品中挑选若干件恰好装满背包,即使w1 +w2 + … + wn=T,要求找出所有满足上述条件的解。例如:当T=10,各件物品的体积{1,8,4,3,5,2}时,可找到下列4组解: (1,4,3,2) (1,4,5) (8,2) (3,5,2)。 -Suppose there are a load of the bac
<zhengzelun> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[汇编语言1

说明:加减计数器 library ieee use ieee. std_logic-_1164.all entity dec3_8 is port(a,b,c,s1,s2,s3: in std_logic y: out std_logic_vector(0 to 7)) end architecture b of dec3_8 is si
<镜辰> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[汇编语言sy1

说明:28M分频器 D触发器 jk触发器 library ieee -library ieee use ieee.std_logic_1164.all use ieee.std_logic_arith.all use ieee.std_logic_unsigned.all entity ymq is port(num:in std_logic_vector(3 downto 0)
<镜辰> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[汇编语言sy4

说明:D74LS74 JK74ls112. LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL ENTITY D74LS74 is port(clk,clr,PRE,D:in std_logic QT,QTN:out std_logic) end ENTITY D74LS74 architecture bhv of D74LS74 is signal q,qn:st
<镜辰> 在 2024-10-11 上传 | 大小:1024 | 下载:0

[汇编语言Character-classification

说明:基于汇编的字符分类实现,将一个字符串中的字符、数字、等分行显示-Based on the character of assembly classification realized, will a string of characters, Numbers, and branch display
<王小文> 在 2024-10-11 上传 | 大小:1024 | 下载:0
« 1 2 ... .72 .73 .74 .75 .76 377.78 .79 .80 .81 .82 ... 3005 »

源码中国 www.ymcn.org