资源列表

« 1 2 ... .10 .11 .12 .13 .14 4115.16 .17 .18 .19 .20 ... 4311 »

[VHDL编程CarryLookaheadAdder64

说明:一个64位超前进位加法器,verilog语言描述。-A 64 bits carry look ahead adder, verilog
<张松> 在 2024-10-08 上传 | 大小:1024 | 下载:0

[VHDL编程Arinc_708_Rxer

说明:arinc_708 source code is here aupdated with brief explanation
<mallaiah> 在 2024-10-08 上传 | 大小:1024 | 下载:0

[VHDL编程DDS_FPGA

说明:fpga实现DDS信号发生器的源代码 用于实现信号的控制-fpga DDS signal generator source code
<于伟龙> 在 2024-10-08 上传 | 大小:1024 | 下载:0

[VHDL编程Seg7decode

说明:verilog HDL的7段数码管译码代码,可以使用-7 segment LED decoder with verilog HDL
<杨鹏> 在 2024-10-08 上传 | 大小:1024 | 下载:0

[VHDL编程VHDL-example_counter

说明:M=11的计数器;计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能-M = 11 counter The count is one of the most simple basic computing, counter to realize the operation is logic circuit
<殷蕾> 在 2024-10-08 上传 | 大小:1024 | 下载:0

[VHDL编程mode1_master

说明:UART0 模式1主机程序TX0线为P0.0,RX0线为P0.1,TX0采用T2为波特率产生源,RX0采用T1为波特率产生源-UART0 mode, a host program TX0 line for the P0.0, the RX0 line for P0.1 obtained, TX0 as using T2 generation source for the baud rate, RX0 uses T1 for baud r
<静儿> 在 2024-10-08 上传 | 大小:1024 | 下载:0

[VHDL编程Mux

说明:designing of multiplexer using vhdl language
<sriramgopal> 在 2024-10-08 上传 | 大小:1024 | 下载:0

[VHDL编程mul8

说明:designing of 8 bit mulitiplier using verilog code
<sriramgopal> 在 2024-10-08 上传 | 大小:1024 | 下载:0

[VHDL编程Clock

说明:FPGA入门程序,简单的FPGA驱动数码管显示及计时器程序。-It s very simple,for rookies.
<刘三虎> 在 2024-10-08 上传 | 大小:1024 | 下载:0

[VHDL编程keyboard4_4-and-seg7

说明:4*4键盘扫描程序,并将键值利用七段数码管显示出来。芯片为Altera Cyclone EP1C6Q240C8。-It s very simple,for rookies.
<刘三虎> 在 2024-10-08 上传 | 大小:1024 | 下载:0

[VHDL编程KeyboardController

说明:keyboard controller for spartan 3e fpga
<malik danish> 在 2024-10-08 上传 | 大小:1024 | 下载:0

[VHDL编程I2C

说明:CC2430处理器模拟I2C接口驱动程序,将此文件添加到CC2430的工程中就可以实现I2C接口的功能-CC2430 simulate the I2C interface
<付永涛> 在 2024-10-08 上传 | 大小:1024 | 下载:0
« 1 2 ... .10 .11 .12 .13 .14 4115.16 .17 .18 .19 .20 ... 4311 »

源码中国 www.ymcn.org