资源列表

« 1 2 ... .87 .88 .89 .90 .91 4292.93 .94 .95 .96 .97 ... 4311 »

[VHDL编程VHDLProgrammingbyExample

说明:VHDL启蒙书,我在国外读书的老师推荐的-VHDL enlightening book, I was studying abroad teachers recommended
<laSiA> 在 2024-07-06 上传 | 大小:32595968 | 下载:0

[VHDL编程verilog

说明:Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。   Verilog HDL 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模语言。此外,Verilog
<杨恩源> 在 2024-07-06 上传 | 大小:32106496 | 下载:0

[VHDL编程200998301FSK

说明:基于FPGA的利用FSK调制方式的无线传输系统中的短信息发送传输接收,对无线传输的学习有很大帮助!-FPGA-based FSK modulation used for wireless transmission system to send the short message transmission to receive, for wireless transmission of great help to learn!
<荆籍> 在 2024-07-06 上传 | 大小:32569344 | 下载:0

[VHDL编程Rapid_System_Prototyping_with_FPGAs

说明:《基于FPGA的快速建模》一本适合FPGA领域有一定基本经验读者的系统设计的佳作。-" FPGA-based rapid prototyping" a suitable FPGA has some basic experience in the field carry the tripod to make.
<BoShan> 在 2024-07-06 上传 | 大小:32594944 | 下载:0

[VHDL编程tft

说明:好东西,重要的是分享,此压缩包文件是关于怎样使用tft液晶屏的,还有是关于fpga与dsp通信的实现,大家好好讨论讨论,相互提高-Good thing, it is important to share, if there are any questions, or what the key issues, we have a good discussion to discuss with each other to improve
<开发> 在 2024-07-06 上传 | 大小:32309248 | 下载:0

[VHDL编程ml510_dual_design_ppc440

说明:双核的PPC440用于开发,通过详细的讲解建立工程的过程以及软件的应用,帮助解决问题。-For the development of dual-core PPC440,Detailed explanation of the establishment of the engineering process and the application of the software , to help solve the problem.
<王晓璐> 在 2024-07-06 上传 | 大小:32322560 | 下载:0

[VHDL编程EDA

说明:熟悉QuartusⅡ的Verilog HDL文本设计流程全过程,学习计数器的设计、仿真和硬件测试。-Familiar with Quartus II Verilog HDL text design process, learning counter design, simulation and hardware testing.
<小麻> 在 2024-07-06 上传 | 大小:32303104 | 下载:0

[VHDL编程TankWar

说明:使用Verilog语言在ise平台上实现的坦克大战游戏,实现了基本的游戏功能(The use of Verilog language in the ISE platform to achieve the tank war game, to achieve the basic functions of the game)
<日日夜夜 > 在 2024-07-06 上传 | 大小:32106496 | 下载:1

[VHDL编程jishi

说明:用verilog语言设计了一个万年历,包括闰年判断,仿真正确(A calendar is designed with Verilog language, including leap year judgment, simulation is correct)
<vsslms > 在 2024-07-06 上传 | 大小:32549888 | 下载:0

[VHDL编程AD常用库

说明:altium designer 常用库大全,包含3D库(the most popular lib about altium designer which includes the 3d lib, pcb lib and sch lib)
<超级歪 > 在 2024-07-06 上传 | 大小:32200704 | 下载:1

[VHDL编程AXI-HP-ZYNQ

说明:用Vivado IPI搭建的Zynq-7000 PS到PL通信过程,使用了AXI-HP接口,利用AXI-DMA IP实现直接读写DDR的过程,软件可以配置传输尺寸。(The Zynq-7000 PS to PL communication process is built by Vivado IPI. AXI-HP interface is used, and AXI-DMA IP is used to read and write D
<刘小娃> 在 2024-07-06 上传 | 大小:32524288 | 下载:0

[VHDL编程TwoOderPll

说明:1、资料包含二阶环路设计简要说明,Matlab程序,Matlab程序模拟FPGA工作方式,对各变量进行了量化处理 2、资料包含使用Vivado2015.4.2版本的工程文件,可直接运行查看仿真结果 3、参考资料为杜勇老师的《锁相环技术原理及其FPGA实现》(1. The data include a brief descr iption of the second-order loop design. The MATLAB prog
<三百钱> 在 2024-07-06 上传 | 大小:32550912 | 下载:0
« 1 2 ... .87 .88 .89 .90 .91 4292.93 .94 .95 .96 .97 ... 4311 »

源码中国 www.ymcn.org