资源列表

« 1 2 ... .09 .10 .11 .12 .13 4114.15 .16 .17 .18 .19 ... 4311 »

[VHDL编程vhdl0716

说明:ISE7.1,采用VIRTEX-II芯片。实现adc数据采样,平均,通道选择,采样时钟选择,数据格式调整,内含fifo,uart等模块。-ISE7.1, using VIRTEX-II chip. Adc realize data sampling, on average, channel selection, the sampling clock select, adjust data formats, including fifo,
<杨奋燕> 在 2024-10-08 上传 | 大小:8431616 | 下载:0

[VHDL编程8x8DCT

说明:8x8DCT verilog code 一次輸入8個點-8x8DCT verilog code once the importation of eight points
<Emuil> 在 2024-10-08 上传 | 大小:8416256 | 下载:0

[VHDL编程computer5

说明:一种RISC结构8位微控制器的设计与实现-The structure of a RISC micro-controller' s 8 Design and Implementation
<steven> 在 2024-10-08 上传 | 大小:8429568 | 下载:0

[VHDL编程CPLDFPGAGuide

说明:CPLD_FPGA高级应用开发指南,适合学习-Advanced Application Development Guide CPLD_FPGA for learning
<王田> 在 2024-10-08 上传 | 大小:8442880 | 下载:0

[VHDL编程LCD12864

说明:基于 NIOS II的LCD12864 IP核设计,有了这个可以直接使用LCD12864-NIOS II of LCD12864 IP-based core design, with this can be used directly LCD12864
<倪大野> 在 2024-10-08 上传 | 大小:8441856 | 下载:0

[VHDL编程VGA_GAME

说明:基于FPGA,VGA,PS2的贪吃蛇游戏verilog源码,内附说明-Based on FPGA, VGA, PS2 Snake game Verilog source code, containing a descr iption
<汤雷> 在 2024-10-08 上传 | 大小:8452096 | 下载:0

[VHDL编程VHDL-and-Verilog

说明:verilog和vhdl语言相互转化,有算法和源代码,对学FPGA的同学有帮助-verilog and vhdl language into each other, there are algorithms and source code, help students learn FPGA
<朱孔> 在 2024-10-08 上传 | 大小:8419328 | 下载:0

[VHDL编程sd_test

说明:是一个基于verilog语言编写的sd卡读写程序,在DE2开发板上实现,可以直接使用-It is a verilog program of SD read-write. it is in DE2 board
<瑾琨> 在 2024-10-08 上传 | 大小:8414208 | 下载:0

[VHDL编程DDR3design-on-xilinx

说明:在xilinx平台上实现的ddr3的设计,verilog-ddr3,design on xilinx,verilog
<黄志沛> 在 2024-10-08 上传 | 大小:8457216 | 下载:0

[VHDL编程GTKWave_ISim

说明:gtkwave simulator for fpga code
<ar> 在 2024-10-08 上传 | 大小:8454144 | 下载:0

[VHDL编程ccd_drive

说明:FPGA驱动TCD2252D源码,包含六路驱动时序,经验证无误-FPGA drives TCD2252D source, including six road driving timing, proven correct
<章金敏> 在 2024-10-08 上传 | 大小:8418304 | 下载:0

[VHDL编程pinlvxianshi

说明:通过FPGA中的时钟信号分频作为基准频率,将另一频率作为输入与之比较,并在数码管显示输入频率。(The frequency division of the clock signal in the FPGA is used as the reference frequency, the other frequency is used as input, and the input frequency is displayed in the
<狄克推多 > 在 2024-10-08 上传 | 大小:8434688 | 下载:0
« 1 2 ... .09 .10 .11 .12 .13 4114.15 .16 .17 .18 .19 ... 4311 »

源码中国 www.ymcn.org