资源列表

« 1 2 ... .92 .93 .94 .95 .96 3997.98 .99 .00 .01 .02 ... 4311 »

[VHDL编程FPGA_DESIGNED

说明:曾经的硕士论文,基于FPGA的8051的soc核研究,用FPGA实现的51核,对FPGA的学习很有帮助-Have master' s thesis, based on the FPGA of the soc of the 8051 nuclear research, with FPGA to achieve the 51 nuclear, helpful for learning FPGA
<菠萝> 在 2024-10-11 上传 | 大小:5193728 | 下载:0

[VHDL编程IVLSIC01

说明:vlsi project ieee 2006 with doc and source code
<susheel> 在 2024-10-11 上传 | 大小:5204992 | 下载:0

[VHDL编程DE2_115_NIOS_DEVICE_LED

说明:基于NIOS开发环境下的LED灯综合程序,搭配使用QT2,开饭板为DE2-115-LED lights integrated program based on the NIOS development environment with QT1 dinner plate for the DE2-115
<杨平平> 在 2024-10-11 上传 | 大小:5187584 | 下载:0

[VHDL编程video_ddr2_loop_alteraip_porta

说明:这好像是红色飓风四代开发板用来进行图像处理的程序,我自己没有在这方面用过,希望对高手有用-This seems to be four generations of red hurricane development board used for image processing program, I have not been used in this regard, I hope to master useful
<蓝风> 在 2024-10-11 上传 | 大小:5202944 | 下载:0

[VHDL编程a

说明:简易电子琴演奏器的VHDL实现 本实验实现了简易的电子琴演奏,包括自动和手动演奏。 输入为BTN0~BTN6,代表1~7共7个音符。音高可切换低中高音,用两个拨码开关控制:“00”为低音,“10”或“01”为中音,“11”为高音。一个拨码开关切换收动/自动。一个开关控制存储(播放存储)/不存储。一个按键clr复位。 输出为8*8点阵、两个数码管(显示音高和字符)、蜂鸣器。 具体功能: 当切换至手动模式时,根据手动按键
<carmack> 在 2024-10-11 上传 | 大小:5189632 | 下载:0

[VHDL编程uart_io_test

说明:verilog实现的uart,在icore2上能测试,代码是特权同学的,我修改了波特率部分。复位部分-verilog achieve uart, on icore2 can test the code is the prerogative of the students, I modified the baud section. Reset section
<郭稳> 在 2024-10-11 上传 | 大小:5210112 | 下载:0

[VHDL编程qsys2014

说明:介绍qsys的使用,是基于quartus13.0版本的操作,比较好的一本教程-Introduced the use of qsys, is a version of the quartus13.0 based operation, a good tutorial
<海风> 在 2024-10-11 上传 | 大小:5188608 | 下载:0

[VHDL编程SegLed_DynamCNT

说明:FPGA控制数码管动态显示,verilog编写-segled display dynamicly controled by FPGA
<Jeff_yin> 在 2024-10-11 上传 | 大小:5200896 | 下载:0

[VHDL编程Fast_SQRT

说明:只使用简单的移位操作对32bit整型数进行开方的算法的Verilog实现-realize the sqrt algorithm which only use shift operation on 32bit int by Verilog
<WangYibin> 在 2024-10-11 上传 | 大小:5208064 | 下载:0

[VHDL编程FPGAforlcdDisplay

说明:FPGA ship FOR LCD display, the LCD is 12864.有兴趣的初学者可以看看,高手绕过。-FPGA ship FOR LCD display, the LCD is 12864 MODEL.
<wuweibiao> 在 2024-10-11 上传 | 大小:5199872 | 下载:0

[VHDL编程按键控制led

说明:按键控制led灯亮灭顺序,从左到右跑或者从右往左跑(Press button to control the LED lights on and off)
<baby321 > 在 2024-10-11 上传 | 大小:5188608 | 下载:0

[VHDL编程spi_master

说明:spi通信主从模式 可以设置速率/工作模式(Master slave mode of SPI communication)
<karviezz > 在 2024-10-11 上传 | 大小:5209088 | 下载:0
« 1 2 ... .92 .93 .94 .95 .96 3997.98 .99 .00 .01 .02 ... 4311 »

源码中国 www.ymcn.org