资源列表

« 1 2 ... .04 .05 .06 .07 .08 3809.10 .11 .12 .13 .14 ... 4311 »

[VHDL编程quartus2

说明:主要是关于FPGA的开发软件QUARTUSII的学习文档和一些常用操作方法工具等-Mainly on the development of FPGA software QUARTUSII study documents and method of operation of some commonly used tools, etc.
<张三> 在 2024-10-14 上传 | 大小:3096576 | 下载:0

[VHDL编程QUARTUSIIIntroduce

说明:本手册针对的读者是 Quartus II 软件的初学者,它概述了可编程逻辑设计中 Quartus II 软件的功能-This manual is aimed at readers of the Quartus II software for beginners, it provides an overview of programmable logic in the Quartus II design software
<光辉> 在 2024-10-14 上传 | 大小:3096576 | 下载:0

[VHDL编程intro_to_quartus2_chinese

说明:详尽的quartus中文版介绍,使用方法,技巧等-failed to translate
<robin> 在 2024-10-14 上传 | 大小:3096576 | 下载:0

[VHDL编程QuartusIIstudy

说明:
<liuxing521lan> 在 2024-10-14 上传 | 大小:3094528 | 下载:0

[VHDL编程QuartusII_Handbook

说明:适合atera开发者参考学习的Quartus II 中文版操作手册-Quartus II Handbook
<田健> 在 2024-10-14 上传 | 大小:3096576 | 下载:0

[VHDL编程dianlucheshi

说明:100列VHDL的开发实例,用于FPGA的初学者-100 examples of VHDL development, for beginners
<刘明> 在 2024-10-14 上传 | 大小:3095552 | 下载:0

[VHDL编程quartus2

说明:学习这个文档可以亲送的操作quartus,可以进行vhdl的开发-This document can be sent to learn the operation of the pro quartus, can the development vhdl
<伍茜> 在 2024-10-14 上传 | 大小:3096576 | 下载:0

[VHDL编程quartus2

说明:quartusⅡ9.0的安装及使用的详细教程,非常好用-quartus Ⅱ 9.0 installation and use of the detailed tutorial, very easy to use
<yanping> 在 2024-10-14 上传 | 大小:3095552 | 下载:0

[VHDL编程uart

说明:veilog 实现FPGA的串口收发器,自发自收,稍作修改可以用于单独发送和接收模块。-verilog describe uart
<chen> 在 2024-10-14 上传 | 大小:3091456 | 下载:0

[VHDL编程I2CReadWrite

说明:verilog IIC 读写例程,非常好用-verilog IIC read write it very good code
<hsj> 在 2024-10-14 上传 | 大小:3093504 | 下载:0

[VHDL编程FPGA-FIR

说明:基于Xilinx FPGA实现的系数可装载数字滤波器源代码
<楚轩> 在 2024-10-14 上传 | 大小:3090432 | 下载:0

[VHDL编程VerilogHDL硬件描述语言

说明:Verilog语言入门教程,详细讲述了Verilog语法和应用(Verilog language introductory course, detailing the Verilog syntax and Application)
<Arwen_yuan > 在 2024-10-14 上传 | 大小:3091456 | 下载:0
« 1 2 ... .04 .05 .06 .07 .08 3809.10 .11 .12 .13 .14 ... 4311 »

源码中国 www.ymcn.org