资源列表

« 1 2 ... .65 .66 .67 .68 .69 11770.71 .72 .73 .74 .75 ... 15242 »

[单片机(51,AVR,MSP430等)350

说明:C8051F350芯片的ADC的转换程序,用于将模拟量转换成数字量-ADC of C8051F350
<李佩> 在 2024-09-27 上传 | 大小:27648 | 下载:0

[单片机(51,AVR,MSP430等)Ewwaaniallil

说明:电子万年历 包含程序源码及原理图公公历年月日时分秒 温度 -Electronic calendar with the calendar year month date hour minute and second temperature in the program source code and schematic father
<宴会> 在 2024-09-27 上传 | 大小:344064 | 下载:0

[单片机(51,AVR,MSP430等)Eccalendarl

说明:电子万年历程序。带有封面,报告告中有流程图和源代码可直接使用。 -Electronic calendar program. With cover, flow charts and source code can be used directly in the report of the report.
<积累> 在 2024-09-27 上传 | 大小:151552 | 下载:0

[单片机(51,AVR,MSP430等)OLCD12864WanNf

说明:一款由at89sC51+LCD128*64+DS1302等硬件设计而而成的精美万年历。可以在Protues下仿真,并且已制成实物,运行良好。 -A beautifully made at89sC51+ LCD128* 64+ DS1302 and other hardware design calendar. In Protues under simulation, and has been made of the kind, go
<积累> 在 2024-09-27 上传 | 大小:1772544 | 下载:0

[单片机(51,AVR,MSP430等)Wireless-multi-channel-switch

说明:无线多路开关选择,51单片机上调试成功!希望对大家有帮助!-Wireless multi-channel switch, the successful commissioning
<chenjiuyi> 在 2024-09-27 上传 | 大小:11264 | 下载:0

[单片机(51,AVR,MSP430等)SSTCCrarT

说明:本设计使用STC单片机SCM实现对时钟芯片DS1307及128x64LCD的控制,系统由STC单片机SCM控制部分与时钟芯片及液晶LCD显示出来部分组成。该系统由单片机SCM作为主主控机控制各个模块的运行,报时、定时准确并能够在LLCD上清晰的显示出来万年历、温度。除此以外,该系统还能够进行简单易懂的单片机SCM实验,包含程序源码下载、串口调试等。 可直接使用。 -This design uses the STC SCM SCM t
<> 在 2024-09-27 上传 | 大小:559104 | 下载:0

[单片机(51,AVR,MSP430等)MINI_V22_C

说明:单片机开发中的基本项目,如pcf8591,iic,数码管,矩阵键盘等等,还可以实现开机记忆-MCU development projects, such as pcf8591, iic, digital control, matrix keyboard, etc., can also boot memory
<na> 在 2024-09-27 上传 | 大小:2030592 | 下载:0

[单片机(51,AVR,MSP430等)SwannnliiC

说明:使用单片机SCM与时钟芯片DS1302制作的一个万年历历,里面附有源程序源码和PROTUS仿真图 可直接使用。 -The SCM SCM and the clock chip DS1302 produced a calendar calendar, which was annexed to the source code and PROTUS simulation diagram can be used directly.
<> 在 2024-09-27 上传 | 大小:48128 | 下载:0

[单片机(51,AVR,MSP430等)uartVBsource

说明:c51串口调试源文件,用vb语言,包含上位机源代码和串口调试程序-c51 serial debug source file contains the host computer source code and serial debugger vb written in the language,
<na> 在 2024-09-27 上传 | 大小:21504 | 下载:0

[单片机(51,AVR,MSP430等)ssd1963_SD_U

说明:stm32SD卡做U盘程序 功能强*于研究USB和文件系统-stm32SD card to do the program of the U disk
<hey> 在 2024-09-27 上传 | 大小:5870592 | 下载:0

[单片机(51,AVR,MSP430等)hfss13

说明:没有密码 仿真软件 微波天线 工科文件 凑够数字-No password simulation software microwave antenna engineering documents lobbied digital
<luow> 在 2024-09-27 上传 | 大小:14336 | 下载:0

[单片机(51,AVR,MSP430等)HurricaneLamp

说明:keil c 环境下的跑马灯仿真系统,非常好用的哦-keil c environment Marquee simulation system, very easy to use!
<胡剑> 在 2024-09-27 上传 | 大小:1024 | 下载:0
« 1 2 ... .65 .66 .67 .68 .69 11770.71 .72 .73 .74 .75 ... 15242 »

源码中国 www.ymcn.org