资源列表

« 1 2 ... .14 .15 .16 .17 .18 26419.20 .21 .22 .23 .24 ... 33935 »

[单片机(51,AVR,MSP430等)USBdll

说明:单片机USB串口通信模块的动态链接库,包括通用的对串口进行操作的各种函数。-Single-chip USB serial communication module dynamic link library, including general-purpose serial port to operate on a variety of functions.
<牛牛> 在 2024-10-21 上传 | 大小:1017856 | 下载:0

[单片机(51,AVR,MSP430等)SerialCommuctionTestCard

说明:单片机串行通信测试板程序,以直观的界面方式完成对串口数据的测试,发括发送和接收数据,对端口进行设置参数等。-Single-chip serial communications test procedure, the interface intuitive way to completion of the serial test data, the send and receive data, including the port, su
<牛牛> 在 2024-10-21 上传 | 大小:212992 | 下载:0

[单片机(51,AVR,MSP430等)JIAOTONGDENG

说明:交通灯的设计,利用单片机编程,并有PROTEUS仿真-The design of traffic lights, the use of single-chip programming and simulation PROTEUS
<冯西> 在 2024-10-21 上传 | 大小:97280 | 下载:0

[单片机(51,AVR,MSP430等)proteus_lib

说明:基于又些器件在Proteus 里没有库文件,特此添加的一些,希望用得上-proteus library documen
<zuo> 在 2024-10-21 上传 | 大小:237568 | 下载:0

[单片机(51,AVR,MSP430等)1602

说明:PIC+1602 C语言魔班程序餐 -PIC+1602 C language procedures magic classes
<yxj> 在 2024-10-21 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)i2c

说明:一个典型的I2C通信的实验,基于keil 和Proteus仿真的实验-I2C keil proteus
<zuo> 在 2024-10-21 上传 | 大小:37888 | 下载:0

[嵌入式/单片机编程shu_kong_dian_yuan

说明:用键盘来输入电压或电流。实现恒流,恒压,这就是数控电源。-shu kong dian yuan ,cong jian pan shu ru ,shi xian heng liu ,heng ya ,gong neng hai ke yi.
<罗锋> 在 2024-10-21 上传 | 大小:52224 | 下载:0

[VHDL编程eightscaleEDAdesign

说明:基于FPGA的电子琴,用VHDL实现,完成八个音阶的输出-FPGA—based organ,with the realization of VHDL,complete the eight scale output.
<Reuben> 在 2024-10-21 上传 | 大小:79872 | 下载:0

[VHDL编程fifotop

说明:基于FPGA编写的VHDL语言,FIFO代码程序。 程序完整。-VHDL-based FPGA written language, FIFO procedure code. Complete the procedure.
<李芳> 在 2024-10-21 上传 | 大小:2100224 | 下载:0

[单片机(51,AVR,MSP430等)AD0809

说明:AD0809转换程序C代码并将转换的数据由LCD1602显示主芯片为AT89C52 -AD0809 conversion process will convert C code LCD1602 display data from the main chip for the AT89C52
<leegel> 在 2024-10-21 上传 | 大小:2048 | 下载:0

[微处理器(ARM/PowerPC等)mini2440-um-20081108

说明:mini2440电路原理图,里有ARM详细的开发教程,有助于初学者很快的上手-mini2440 circuit schematics, ARM has developed a detailed tutorial to help beginners get started quickly
<程言振> 在 2024-10-21 上传 | 大小:17079296 | 下载:0

[嵌入式/单片机编程DS1302_success

说明:MSP430 DS1302程序库,简单修改后就可以使用-MSP430 DS1302 library, a simple modification can be used after
<街角的蜗牛> 在 2024-10-21 上传 | 大小:66560 | 下载:0
« 1 2 ... .14 .15 .16 .17 .18 26419.20 .21 .22 .23 .24 ... 33935 »

源码中国 www.ymcn.org