资源列表

« 1 2 ... .26 .27 .28 .29 .30 33431.32 .33 .34 .35 .36 ... 33934 »

[单片机(51,AVR,MSP430等)user_def

说明:2.45射频的原码,功能操作部分,匹配所有的引脚功能-2.45 RF source, functional operation of part of all of the pin function matches
<曹清云> 在 2024-10-04 上传 | 大小:1024 | 下载:0

[VHDL编程uart_rx

说明:quartus.exe 环境下经过编辑和仿真之后,作为FPGA器件的实验用串口接收数据驱动。 -quartus.exe edited and policy environment after the experiment as the FPGA device to receive data-driven serial port.
<> 在 2024-10-04 上传 | 大小:1024 | 下载:0

[嵌入式Linuxadc-test.tar

说明:可用于ARM S3C2440开发板的AD模数转换进行数据的采集-ARM S3C2440 development board can be used for the AD analog-digital conversion for data collection
<王豫炜> 在 2024-10-04 上传 | 大小:1024 | 下载:0

[其他嵌入式/单片机内容DS1302

说明:此程序是基于C语言的,实现的功能是对DS1302外设的驱动。-The program is based on C language, the realization of the function is to DS1302 peripherals driver.
<yee> 在 2024-10-04 上传 | 大小:1024 | 下载:0

[VHDL编程first.tar

说明:这是我的第一个python程序,哈哈,学着试试玩玩。-This is my first python program, ha ha, try to learn to play.
<哈俣> 在 2024-10-04 上传 | 大小:1024 | 下载:0

[VHDL编程keeloqencoder

说明:this code is a keeloq encryption verilog code-keeloq encryption verilog code
<kan> 在 2024-10-04 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)main

说明:TLV5438的调试程序,里面包含了各个通道的使用。TLV5618是双通道12位高速DA。-TLV5438 debugger
<陈怡斐> 在 2024-10-04 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)TM1628_asm

说明:TM1628c的LED驱动程序,汇编程序,已完成测试。-TM1628c the LED driver, assembler, has completed the test.
<华强> 在 2024-10-04 上传 | 大小:1024 | 下载:1

[VHDL编程vga

说明:SPARTAN3AN VGA test it s for starters to get the idea about how to use vga port on spartan3an kit. in this code , first 50mhz clock used to create a 25 mhz clock to use in vga snchronization . then a simple window is c
<gasd> 在 2024-10-04 上传 | 大小:1024 | 下载:0

[嵌入式LinuxFPM.c.tar

说明:利用linux写的串口参数设定函数。 编写串口通信时参考-Using linux to write the serial parameter setting function. Reference when writing serial communication
<luxingmin> 在 2024-10-04 上传 | 大小:1024 | 下载:0

[VHDL编程ahblitemaster

说明:ahb master for single state representation code
<Leela> 在 2024-10-04 上传 | 大小:1024 | 下载:0

[VHDL编程clock_divider.vhd

说明:A generic clock divider described in VHDL language
<Marcos> 在 2024-10-04 上传 | 大小:1024 | 下载:0
« 1 2 ... .26 .27 .28 .29 .30 33431.32 .33 .34 .35 .36 ... 33934 »

源码中国 www.ymcn.org