资源列表

« 1 2 ... .67 .68 .69 .70 .71 33372.73 .74 .75 .76 .77 ... 33934 »

[VHDL编程SONGYFQ

说明:用VHDL设计的电路,输出接到喇叭可播放乐曲“一分钱”。适合做课程设计。-Circuit design with VHDL, output to speakers can play music, " a penny." Suitable curriculum design.
<> 在 2024-10-05 上传 | 大小:1024 | 下载:0

[VHDL编程ANSWER

说明:采用VHDL设计的抢答器,抢答时间10秒钟,10秒内无人抢答,则抢答按键失效。显示抢答的队伍号。适合做课程设计。-Design using VHDL Responder, Responder for 10 seconds, no answer in 10 seconds, then the answer in key failure. Display answer in team numbers. Suitable curriculum
<> 在 2024-10-05 上传 | 大小:1024 | 下载:0

[VHDL编程verilog_uart_log_vhdl_uart_log

说明:verilog uart mode code VHDL uart mode -verilog uart mode code VHDL uart mode code VHDL uart mode
<o0o0o0o0o0> 在 2024-10-05 上传 | 大小:1024 | 下载:0

[VHDL编程verilog_uart_log_vhdl_uart_logfdj

说明:code VHDL uart mode -code VHDL uart mode code VHDL uart mode
<o0o0o0o0o0> 在 2024-10-05 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)buzzer

说明:51单片机蜂鸣器操控播放音乐 蜂鸣器只能播放滴滴的响声。但是可以通过操控蜂鸣器的频率HZ来达到操作蜂鸣器发出简单的音乐的目的-51 SCM buzzer buzzer control only play music and pieces of sound. However, the frequency can be controlled buzzer buzzer HZ simple operation to achieve the
<刘大地> 在 2024-10-05 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)12864

说明:12864图形以及汉字显示实例代码。源代码实现12864显示锐志文字以及彩云图像-12864 graphics and character display example code. 12864 shows the source code text, and Choi images Reiz
<刘大地> 在 2024-10-05 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)DS1302

说明:DS1302时钟芯片制作的电子时钟实例代码 本代码通过DS1302时钟芯片控制产生时钟信号。并且通过24C08记忆时钟计时信息。通过数码管显示出来。是多种芯片的综合应用-DS1302 clock chip production instance of the code of the electronic clock DS1302 clock chip to control the code generated by the cloc
<刘大地> 在 2024-10-05 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)shiyan

说明:将5个数 相加 并将结果显示在屏幕上 -add 5 numbers
<张孝> 在 2024-10-05 上传 | 大小:1024 | 下载:0

[微处理器(ARM/PowerPC等)LCD_controller

说明:lcd controller based on 16f648
<Sandy Zhang> 在 2024-10-05 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)MB11504C

说明:MB1504锁相环控制程序,已经调试通过,是本人参加比赛时写的程序,方便移植和应用-MB1504 PLL control procedures, has been debugged, is the race when I write the program to facilitate the transfer and application of
<李小> 在 2024-10-05 上传 | 大小:1024 | 下载:0

[VHDL编程dff

说明:用VHDL语言编写的带进位、置位、复位的D触发器,异步清零D触发器,同步清零D触发器-library ieee use ieee.std_logic_1164.all use ieee.std_logic_unsigned.all entity exp7_10 is port( clk: in std_logic d: in std_logic clr: in std_logic
<jly> 在 2024-10-05 上传 | 大小:1024 | 下载:0

[VHDL编程d_ff

说明:带置位、清零使能的D触发器以及同步清零D触发器、异步清零D触发器-VHDL,DFF
<jly> 在 2024-10-05 上传 | 大小:1024 | 下载:0
« 1 2 ... .67 .68 .69 .70 .71 33372.73 .74 .75 .76 .77 ... 33934 »

源码中国 www.ymcn.org