资源列表

« 1 2 ... .34 .35 .36 .37 .38 26539.40 .41 .42 .43 .44 ... 33934 »

[嵌入式Linuxdynrotate

说明:qt下开发的窗口动态旋转地例子,利用qt内部源码修改-qt developed under the window example of rotary to use qt modify the internal source
<一品> 在 2024-10-01 上传 | 大小:6144 | 下载:0

[嵌入式Linuxgccarm

说明:configure gcc compiler for arm processor
<sujith> 在 2024-10-01 上传 | 大小:6144 | 下载:0

[单片机(51,AVR,MSP430等)AVR12864

说明:ATmega162v并行接口模式控制12864液晶的程序。-ATmega162v 12864 parallel interface LCD mode control procedures.
<DaglesHoll> 在 2024-10-01 上传 | 大小:6144 | 下载:0

[嵌入式/单片机编程tests

说明:TMS320DM642平台,用c语言实现Vport口的视频输入输出驱动设计-ccs
<jacky> 在 2024-10-01 上传 | 大小:6144 | 下载:0

[VHDL编程81404600digitalclock

说明:很强大的工具 希望大家可以喜欢 在生活中的应用-Very powerful tool for hope that we can enjoy the application in life
<奚洋> 在 2024-10-01 上传 | 大小:6144 | 下载:0

[VHDL编程VHDLcodes

说明: Behavioral descr iption of ALU, RAM MODULE, ROM MODULE, DIVIDE BY N COUNTER, GENERIC DIVIDER 2n+1, GCD CALCULATOR, GCD FSM CODE, JK FLIP FLOP in VHDL . These are fully synthesized codes with optimization.- Behavioral de
<Vijay> 在 2024-10-01 上传 | 大小:6144 | 下载:0

[单片机(51,AVR,MSP430等)Potterfringeheadmodel

说明:Implementation of the Potter head field equations. (C) 2000, C. Bond. All rights reserved. "Analytic Expression for the Fringe Field of Finite Pole-Tip Length Recording Heads", Robert I. Potter, IEEE Tran
<shengbin> 在 2024-10-01 上传 | 大小:6144 | 下载:0

[DSP编程Chinese_operations

说明:在C环境下开发的汉字操作的功能函数,共享一下-In C, character development operations under the performance function, sharing what
<蛐蛐> 在 2024-10-01 上传 | 大小:6144 | 下载:1

[VHDL编程Altera_UP_Avalon_PS2

说明:Altera_PS2逻辑与驱动程序,SOPC里面可以直接应用-Altera_PS2 logic and driver, SOPC which can be applied directly
<七十二变> 在 2024-10-01 上传 | 大小:6144 | 下载:0

[单片机(51,AVR,MSP430等)GOS3.0

说明:用于飞思卡尔单片机HC12XE系列的源代码-一个简单RTOS内核文件。值得学习
<xieqiongyuan> 在 2024-10-01 上传 | 大小:6144 | 下载:0

[嵌入式Linuxlcd_init

说明:s3c2440 linux LCD驱动,含有多种LCD的初始化代码:TFT-T280MBQL TFT-LQ028AC21G TFT1N7028 信利的TFT-GG1P7013-s3c2440 linux LCD driver, with a variety of LCD initialization code: TFT-T280MBQL TFT-LQ028AC21G TFT1N7028 Truly' s TFT-GG1P7013
<summer> 在 2024-10-01 上传 | 大小:6144 | 下载:0

[VHDL编程d-flip

说明:同步复位的D 触发器,该触发器有一个数据输入端D,时钟输入端CLK,清 零输入端CLR,数据输出端Q。CLR为1时,触发器复位-Synchronous reset D flip-flop, the flip-flop has a data input D, the clock input CLK, clear input CLR, the data output Q. CLR 1, the trigger reset
<wangminpeng> 在 2024-10-01 上传 | 大小:6144 | 下载:0
« 1 2 ... .34 .35 .36 .37 .38 26539.40 .41 .42 .43 .44 ... 33934 »

源码中国 www.ymcn.org