资源列表

« 1 2 ... .97 .98 .99 .00 .01 26402.03 .04 .05 .06 .07 ... 33935 »

[微处理器(ARM/PowerPC等)STM32_CAN_driver

说明:STM32的CAN驱动,开发环境KEIL,正在产品上使用,就只上传了驱动文件。只要移植到自己的项目下就可以使用-The STM32 CAN driver development environment KEIL, are products used to upload only the driver files. Transplanted to their own projects as long as you can use unde
<64006710@qq.com> 在 2024-10-20 上传 | 大小:6144 | 下载:0

[VHDL编程ram32

说明:并行RAM程序,2位并行读取,可以参考用于要求高速缓存的设计。-Parallel RAM program, two parallel reading, you can refer to the cache for the required design.
<YF> 在 2024-10-20 上传 | 大小:6144 | 下载:0

[单片机(51,AVR,MSP430等)LED

说明:单片机实现的8位数码管的动态显示,编程是用C语言。让初学者掌握8位数码管的应用-The single chip microcomputer to eight of the dynamic display of the digital tube, programming is C language. For beginners to master the application of eight digital tube
<chen> 在 2024-10-20 上传 | 大小:6144 | 下载:0

[单片机(51,AVR,MSP430等)Sle4442

说明:Sle4442卡的驱动,有中文注释,可正常使用。-Sle4442 card driver, a Chinese annotation can be used normally.
<zyt> 在 2024-10-20 上传 | 大小:6144 | 下载:0

[VHDL编程anemometro

说明:anenometro digital creado en vhdl
<ivan26272829> 在 2024-10-20 上传 | 大小:6144 | 下载:0

[单片机(51,AVR,MSP430等)des.inc

说明:source codes fro microchip and atmel controllers
<antoonio> 在 2024-10-20 上传 | 大小:6144 | 下载:0

[单片机(51,AVR,MSP430等)Embedded-Pak-Agus

说明:This source code how to make running LED using Atmega16 on avr studio 4-This is source code how to make running LED using Atmega16 on avr studio 4
<sankeq> 在 2024-10-20 上传 | 大小:6144 | 下载:0

[其他嵌入式/单片机内容3310

说明:3310 lcd.c full program of pcd85-3310 lcd.c full program of pcd8544
<Aneesh> 在 2024-10-20 上传 | 大小:6144 | 下载:0

[单片机(51,AVR,MSP430等)Sources

说明:温湿度传感器 读写程序 已调试通过 -humi sensor code
<zhe> 在 2024-10-20 上传 | 大小:6144 | 下载:0

[单片机(51,AVR,MSP430等)main

说明:铅酸电池充电器 程序源代码 单片机-qian suan dian chi
<晨曦> 在 2024-10-20 上传 | 大小:6144 | 下载:0

[DSP编程modbus16

说明:基于MODBUS协议的DSP串口程序代码-DSP based on MODBUS protocol serial code
<小迪> 在 2024-10-20 上传 | 大小:6144 | 下载:0

[VHDL编程Min-systembased-on-EP1C3T144

说明:相当实用的内容,适合刚开始进行VHDL编程的初学者进行联系的资源-Very useful content, the beginning of the VHDL programming for beginners resources contact
<barry> 在 2024-10-20 上传 | 大小:6144 | 下载:0
« 1 2 ... .97 .98 .99 .00 .01 26402.03 .04 .05 .06 .07 ... 33935 »

源码中国 www.ymcn.org