资源列表

« 1 2 ... .28 .29 .30 .31 .32 26333.34 .35 .36 .37 .38 ... 33934 »

[单片机(51,AVR,MSP430等)AVR-Studio-read-first

说明:AVR Studio 新手入门必读 学校资源-AVR Studio Getting Started reading school resources
<> 在 2024-09-20 上传 | 大小:6144 | 下载:0

[单片机(51,AVR,MSP430等)Interface

说明:附件为多种单片机接口电路的转换,希望对大家有用。 -Attachment to convert a variety of microcontroller interface circuit, we want to be useful. Attachment to convert a variety of microcontroller interface circuit, we want to be useful.
<李生> 在 2024-09-20 上传 | 大小:6144 | 下载:0

[嵌入式Linuxlinux_thread

说明:linux多线程编程的demo,包含多个文件,详细说明多线程用法-linux multithreaded programming demo, contains multiple files detailing the use of multi-threaded
<张楠> 在 2024-09-20 上传 | 大小:6144 | 下载:0

[VHDL编程VGA_interface_drive_program

说明:VGA接口的显示屏驱动程序,可显示小绿人-VGA interface display driver, can show little green men
<刘邦> 在 2024-09-20 上传 | 大小:6144 | 下载:0

[单片机(51,AVR,MSP430等)ASK-FSK-PSK

说明:单片机控制AD9854芯片产生ASK,FSK,PSK三种波形调制的程序,这些程序都经过本人调试过,分享给大家。-SCM AD9854 chip generates ASK, FSK, PSK modulation of three waveforms programs that have been already debugged, to others.
<欧阳> 在 2024-09-20 上传 | 大小:6144 | 下载:0

[单片机(51,AVR,MSP430等)SIN-AM-TA

说明:用单片机控制AD9854芯片产生相位差90度的双路正弦信号,调幅信号,三角波信号的程序,这些程序都经过本人调试成功,分享大家。-MCU control dual AD9854 chip generates a sinusoidal signal phase difference of 90 degrees, the AM signal, the triangular wave signal programs that I have be
<欧阳> 在 2024-09-20 上传 | 大小:6144 | 下载:0

[微处理器(ARM/PowerPC等)GLCD

说明:Graphic LCD Library for STM32F4 devices. It includes function definitions, delays and port redirection.
<Serge Demark> 在 2024-09-20 上传 | 大小:6144 | 下载:0

[VHDL编程vga_fpga

说明:基于VHDL的FPGA设计,VGA显示设计。-VHDL for FPGA-based design, VGA display design.
<shiyan chen> 在 2024-09-20 上传 | 大小:6144 | 下载:0

[单片机(51,AVR,MSP430等)YANG(004)

说明:用HT46R004做的一个养生壶,可控硅加热控制,共阳扫描数码管。已量产数十万。-HT46R004\BTA16org 0004h mov workbak,a mov a,status mov statusbak,a jmp intsub_e ################ org 0008h mov workbak,a
<weixiyuan> 在 2024-09-20 上传 | 大小:6144 | 下载:0

[嵌入式/单片机编程AT89C51-OCM12864

说明:此程序为C51编程的OCM12864点阵汉字液晶显示,芯片采用AT89C51-This procedure for the C51 programming OCM12864 characters dot matrix liquid crystal display, chip AT89C51
<celera> 在 2024-09-20 上传 | 大小:6144 | 下载:0

[嵌入式/单片机编程AT89S5x

说明:AT89S5x看门狗程序(C语言).rar-AT89S5x
<eUSisn> 在 2024-09-20 上传 | 大小:6144 | 下载:0

[VHDL编程ALU-and-Register-File

说明:ALU&Register Files(RF)之實現和其資料路徑的組合,包含了(1)ALU(2)Register File (RF)(3)Serial-in parallel-out register file(4)ALU + RF datapath-To learn the Verilog design for ALU and Register Files which are two main building blocks of a
<sara kuo> 在 2024-09-20 上传 | 大小:6144 | 下载:0
« 1 2 ... .28 .29 .30 .31 .32 26333.34 .35 .36 .37 .38 ... 33934 »

源码中国 www.ymcn.org