资源列表

« 1 2 ... .01 .02 .03 .04 .05 26306.07 .08 .09 .10 .11 ... 33934 »

[DSP编程rajesh-final

说明:its a ppt for power electronics energy stems
<khaleel> 在 2024-09-20 上传 | 大小:449536 | 下载:0

[其他嵌入式/单片机内容acdc

说明:its a ac to dc power convertion
<khaleel> 在 2024-09-20 上传 | 大小:9216 | 下载:0

[单片机(51,AVR,MSP430等)MPG240128

说明:MPG240128驱动程序,单片机为ATmega 16,含电路图,亲试可用。-MPG240128 driver, microcontroller ATmega 16, including schematics, pro-test available.
<李飞> 在 2024-09-20 上传 | 大小:68608 | 下载:0

[单片机(51,AVR,MSP430等)it6802_Driver

说明:iT680x is a HDMI chip and this code is it680x driver
<chiang> 在 2024-09-20 上传 | 大小:139264 | 下载:0

[其他嵌入式/单片机内容Boot-from-the-start(mega64)

说明:工作芯片为mega64,GPIOD(6)上接一个继电器,程序上电后将PORTD(6)置1,延时1.5S后关闭继电器。将继电器接到主机的启动引脚上,即可实现开机自启动。PS,适合那些老主板,没有开机自启功能的主板。-Work chip mega64, then a relay GPIOD (6) on the program after power PORTD (6) is set to 1, after a delay 1.5S clo
<杨杰> 在 2024-09-20 上传 | 大小:9216 | 下载:0

[单片机(51,AVR,MSP430等)ade7758RW

说明:适用于msp430f5529的ade7758的读写程序-Suitable for msp430f5529 of ade7758 literacy program
<杨腾智> 在 2024-09-20 上传 | 大小:3072 | 下载:0

[VHDL编程mips

说明:支持add、addi、sub i、beq、or、ori、lui、j-support add、addi、sub、subi、beq、or、ori、lui、j
<杨佳伟> 在 2024-09-20 上传 | 大小:186368 | 下载:0

[VHDL编程traffic

说明:交通灯程序,用quartus ii编程实现,里面有详细代码,有兴趣的可以加以深究。-Traffic lights, with quartus ii programming, there are detailed code, are interested can be the bottom.
<Steven> 在 2024-09-20 上传 | 大小:592896 | 下载:0

[单片机(51,AVR,MSP430等)ILI9341_lcd

说明:主要是基于STM32的波形采样程序,ILI9341彩屏的驱动程序,进行采样描点。-Mainly based STM32 waveform sampling procedures, ILI9341 color driver, described sampling points.
<郑玉娇> 在 2024-09-20 上传 | 大小:6260736 | 下载:0

[单片机(51,AVR,MSP430等)SPI-bus-read-and-write-program

说明:spi串口通信通用程序,实现单片机与外围电路的双向通信。-spi serial communication common procedures, two-way communication microcontroller and peripheral circuits.
<王瑄> 在 2024-09-20 上传 | 大小:1024 | 下载:0

[单片机(51,AVR,MSP430等)C51_020

说明:C8051F020使用wifi传输温度数据的简单测试。-C8051F020 u4F7F u7528wifi u4F20 u8F93 u6E29 u5EA6 u6570 u636E u7684 u7B80 u5355 u6D4B u8BD5 u3002
<钱许胜> 在 2024-09-20 上传 | 大小:119808 | 下载:0

[VHDL编程Verilog_m_lx

说明:一个简单的verilog小程序,适合初学者学习(A simple Verilog small program, suitable for beginners to learn)
<bangbangtang12> 在 2024-09-20 上传 | 大小:49152 | 下载:0
« 1 2 ... .01 .02 .03 .04 .05 26306.07 .08 .09 .10 .11 ... 33934 »

源码中国 www.ymcn.org