搜索资源列表

  1. DPLL

    1下载:
  2. 数字锁相环DPLL实例程序,帮助理解PLL的结构和详细原理-DPLL DPLL examples of procedures to help understand the structure and PLL detailed Principle
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:986
    • 提供者:李向坤
  1. dpll

    0下载:
  2. DPLL由 鉴相器 模K加减计数器 脉冲加减电路 同步建立侦察电路 模N分频器 构成. 整个系统的中心频率(即signal_in和signal_out的码速率的2倍) 为clk/8/N. 模K加减计数器的K值决定DPLL的精度和同步建立时间,K越大,则同步建立时间长,同步精度高.反之则短,低.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1386
    • 提供者:sharny
  1. dpll

    0下载:
  2. Quantization effect on a 2nd order DPLL design When quantization resoultion b varies, the DPLL has different outputs. This difference can be seen from step response, sine and other inputs (chirp as illustrative exampl
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1240
    • 提供者:dairy
  1. DPLL

    1下载:
  2. 数字锁相环DPLL实例程序,帮助理解PLL的结构和详细原理-DPLL DPLL examples of procedures to help understand the structure and PLL detailed Principle
  3. 所属分类:matlab例程

    • 发布日期:2024-05-04
    • 文件大小:1024
    • 提供者:李向坤
  1. 复件 数字锁相环程序

    0下载:
  2. 数字锁相环DPLL源程序,用cpld编写,展开后文件比较多,大家请耐心使用。谢谢,多多支持-DPLL source with cpld prepared after the start of more documents, please use patience. Thank you, the generous support!
  3. 所属分类:电子书籍

    • 发布日期:2024-05-04
    • 文件大小:120832
    • 提供者:
  1. dll11254

    0下载:
  2. 数字琐相环DPLL的VERLOG代码,MODELSIM下的工程,有测试文件-digital phase-locked loop DPLL VERLOG code MODELSIM under the projects, a test document
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:19456
    • 提供者:刘仪
  1. fdpll

    0下载:
  2. 简单的可配置dpll的VHDL代码。 用于时钟恢复后的相位抖动的滤波有很好的效果, 而且可以参数化配置pll的级数。-simple configurable dpll VHDL code. Clock Recovery for the jitter filtering is a very good result, but can pll configuration parameters of the series.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:2048
    • 提供者:陈德炜
  1. dpll_4

    0下载:
  2. 实现4阶数字锁相环,老外写的,有详细注释,如果您觉得不错,就re一下-achieve four bands DPLL, a foreigner writing a detailed notes, if you think it's good, what re
  3. 所属分类:通讯编程

    • 发布日期:2024-05-04
    • 文件大小:2048
    • 提供者:liu
  1. dpll

    0下载:
  2. DPLL由 鉴相器 模K加减计数器 脉冲加减电路 同步建立侦察电路 模N分频器 构成. 整个系统的中心频率(即signal_in和signal_out的码速率的2倍) 为clk/8/N. 模K加减计数器的K值决定DPLL的精度和同步建立时间,K越大,则同步建立时间长,同步精度高.反之则短,低.-DPLL phase detector by the addition and subtraction counter modu
  3. 所属分类:RFID编程

    • 发布日期:2024-05-04
    • 文件大小:1024
    • 提供者:
  1. dpll

    0下载:
  2. Quantization effect on a 2nd order DPLL design When quantization resoultion b varies, the DPLL has different outputs. This difference can be seen from step response, sine and other inputs (chirp as illustrative exampl
  3. 所属分类:Windows编程

    • 发布日期:2024-05-04
    • 文件大小:1024
    • 提供者:dairy
  1. dpll

    0下载:
  2. DPLL SIMULATION in MATLAB
  3. 所属分类:3G开发

    • 发布日期:2024-05-04
    • 文件大小:1024
    • 提供者:Bhavin
  1. dpll

    0下载:
  2. dpll的verilog代码,完成数字锁相。用于时钟对准,位同步。-dpll the verilog code to complete the digital phase-locked. Alignment for the clock, bit synchronization.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:1024
    • 提供者:hsj
  1. DPLL

    0下载:
  2. Dpll source core ,it is very good for some one-Dpll source core,it is very good for some one
  3. 所属分类:其他小程序

    • 发布日期:2024-05-04
    • 文件大小:9216
    • 提供者:yexianyang
  1. DPLL

    0下载:
  2. DPLL的源代码,包含了一个costas环的仿真 ,供参考学习用。-Digital phase loop
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-04
    • 文件大小:2048
    • 提供者:zb
  1. dpll

    1下载:
  2. 该程序实现了用dpll对可满足问题的求解,-The program achieved the right to meet with dpll problem solving,
  3. 所属分类:压缩解压

    • 发布日期:2024-05-04
    • 文件大小:2048
    • 提供者:
  1. DPLL

    0下载:
  2. 基于VHDL语言的DPLL电路的设计,给出了设计方案和部分源代码 -DPLL
  3. 所属分类:软件工程

    • 发布日期:2024-05-04
    • 文件大小:193536
    • 提供者:zhao peng
  1. dpll

    0下载:
  2. 本文介绍了锁相环路的基本原理,并着重分析了数字锁相环的结构、原理。利用Verilog语言对数字锁相环的主要模块进行了设计,并用Modelsim软件进行仿真。最后给出了整个系统的仿真结果,验证设计的正确性,并在现场可编程门阵列FPGA上予以实现-dpll
  3. 所属分类:其他小程序

    • 发布日期:2024-05-04
    • 文件大小:12288
    • 提供者:卢迎
  1. dpll

    0下载:
  2. 应用matlab设计D触发器型的锁相环的设计的程序并对相位很频率进行性能图形比较-matlab desire Dpll
  3. 所属分类:matlab例程

    • 发布日期:2024-05-04
    • 文件大小:1024
    • 提供者:赵红玉
  1. dpll

    0下载:
  2. 数字锁相环,这里有个例子,可以借鉴看看,用simulink搭建的-dpll
  3. 所属分类:matlab例程

    • 发布日期:2024-05-04
    • 文件大小:11264
    • 提供者:Shane
  1. DPLL

    1下载:
  2. 数字锁相环(DPLL)的介绍与硬件实现设计-Introduction and hardware design of Digital PLL (DPLL)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:1366016
    • 提供者:BenQlin
« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org