搜索资源列表

  1. aes_core

    0下载:
  2. AES高级加密算法的verilog语言实现。同时附有AES协议的pdf文档,和此代码的测试程序,可作为一个IP核直接使用,可减少开发人员的设计时间。-AES Advanced Encryption Algorithm Verilog language. While the agreement with AES pdf documents, and that this code of the test procedure can be u
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:79788
    • 提供者:崔崔
  1. vim_session_vim

    0下载:
  2. This a state-machine driven rs232 serial port interface to aes_core-This a state-driven machine rs232 seria l port interface to aes_core
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:2160
    • 提供者:weixing
  1. aes_core

    0下载:
  2. Verilog实现AES加密算法 密码模块作为安全保密系统的重要组成部分,其核心任务就是加密数据。分组密码算法AES以其高效率、低开销、实现简单等特点目前被广泛应用于密码模块的研制中。密码模块一般被设计成外接在主机串口或并口的一个硬件设备或是一块插卡,具有速度快,低时延的特点。而从整体发展趋势来看,嵌入式密码模块由于灵活,适用于多种用户终端、通信设备和武器平台,将会得到更加广泛的应用
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:79633
    • 提供者:yuansuchun
  1. aes_core.tar

    0下载:
  2. AES的Verilog实现,用于加密的算法硬件实现!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:69700
    • 提供者:刘志刚
  1. aes_core

    0下载:
  2. AES高级加密算法的verilog语言实现。同时附有AES协议的pdf文档,和此代码的测试程序,可作为一个IP核直接使用,可减少开发人员的设计时间。-AES Advanced Encryption Algorithm Verilog language. While the agreement with AES pdf documents, and that this code of the test procedure can be u
  3. 所属分类:加密解密

    • 发布日期:2024-05-27
    • 文件大小:79872
    • 提供者:
  1. vim_session_vim

    0下载:
  2. This a state-machine driven rs232 serial port interface to aes_core-This a state-driven machine rs232 seria l port interface to aes_core
  3. 所属分类:串口编程

    • 发布日期:2024-05-27
    • 文件大小:2048
    • 提供者:weixing
  1. aes_core

    0下载:
  2. Verilog实现AES加密算法 密码模块作为安全保密系统的重要组成部分,其核心任务就是加密数据。分组密码算法AES以其高效率、低开销、实现简单等特点目前被广泛应用于密码模块的研制中。密码模块一般被设计成外接在主机串口或并口的一个硬件设备或是一块插卡,具有速度快,低时延的特点。而从整体发展趋势来看,嵌入式密码模块由于灵活,适用于多种用户终端、通信设备和武器平台,将会得到更加广泛的应用-AES encryption algorithm
  3. 所属分类:加密解密

    • 发布日期:2024-05-27
    • 文件大小:79872
    • 提供者:yuansuchun
  1. aes_core.tar

    0下载:
  2. AES的Verilog实现,用于加密的算法硬件实现!-AES realize the Verilog for hardware implementation of encryption algorithms!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-27
    • 文件大小:69632
    • 提供者:刘志刚
  1. AES

    0下载:
  2. 基于fpga的AES高速实现,介绍了算法实现的过程,仿真结果。-FPGA-based high-speed realization of the AES, introduced the process of algorithm, the simulation results.
  3. 所属分类:软件工程

    • 发布日期:2024-05-27
    • 文件大小:1415168
    • 提供者:王旺
  1. aes_core

    0下载:
  2. aes_core verified verilog ip core-aes_core verified verilog ip core
  3. 所属分类:加密解密

    • 发布日期:2024-05-27
    • 文件大小:11264
    • 提供者:邓婕
  1. aes_core.tar

    0下载:
  2. 基于FPGA平台的256为AES加密IP核-FPGA-based platform for the AES encryption IP core 256
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-27
    • 文件大小:133120
    • 提供者:weipingzhang
  1. aes_core

    0下载:
  2. aes 加密模块,通过这个例子可以学习专业集成电路设计中数字电路设计的一些方法,带你入门设计-aes encryption module can learn through the example of professional digital circuit design integrated circuit design in some way, take you on design
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-27
    • 文件大小:328704
    • 提供者:ssy
  1. aes_core

    0下载:
  2. Note: rewritten a little bit to provide error control and an OpenSSL- compatible API.
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-27
    • 文件大小:12288
    • 提供者:waimamon
  1. aes_core

    0下载:
  2. aes加密解密核,验证可用,可仿真,代码简洁,适合学习-aes core
  3. 所属分类:加密解密

    • 发布日期:2024-05-27
    • 文件大小:78848
    • 提供者:liujiwei
  1. AES_core

    0下载:
  2. 蓝牙AES编码,希望对深入了解蓝牙开发的人有帮助-Bluetooth AES coding, and I hope people understand Bluetooth development help
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-27
    • 文件大小:7168
    • 提供者:李华

源码中国 www.ymcn.org