文件名称:21ic_VIVADO-verilog

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Linux] [SHELL] [源码]
  • 上传时间:
  • 2015-12-28
  • 文件大小:
  • 462kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • ji***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

vivado 下的可逆计数器项目,使用VERILOG语言编写,基于FPGA -vivado 下的可逆计数器项目,使用VERILOG语言编写,基于FPGA v
(系统自动生成,下载前可以参看下载内容)

下载文件列表





cnt10

.....\cnt10.cache

.....\...........\compile_simlib

.....\...........\wt

.....\...........\..\java_command_handlers.wdf

.....\...........\..\synthesis.wdf

.....\...........\..\synthesis_details.wdf

.....\...........\..\webtalk_pa.xml

.....\cnt10.hw

.....\........\hw_1

.....\........\....\hw.xml

.....\........\....\wave

.....\........\webtalk

.....\........\.......\.xsim_webtallk.info

.....\........\.......\labtool_webtalk.log

.....\........\.......\usage_statistics_ext_labtool.html

.....\........\.......\usage_statistics_ext_labtool.xml

.....\cnt10.runs

.....\..........\.jobs

.....\..........\.....\vrs_config_1.xml

.....\..........\.....\vrs_config_10.xml

.....\..........\.....\vrs_config_11.xml

.....\..........\.....\vrs_config_12.xml

.....\..........\.....\vrs_config_13.xml

.....\..........\.....\vrs_config_14.xml

.....\..........\.....\vrs_config_15.xml

.....\..........\.....\vrs_config_2.xml

.....\..........\.....\vrs_config_3.xml

.....\..........\.....\vrs_config_4.xml

.....\..........\.....\vrs_config_5.xml

.....\..........\.....\vrs_config_6.xml

.....\..........\.....\vrs_config_7.xml

.....\..........\.....\vrs_config_8.xml

.....\..........\.....\vrs_config_9.xml

.....\..........\impl_1

.....\..........\......\.Vivado_Implementation.queue.rst

.....\..........\......\.Xil

.....\..........\......\.init_design.begin.rst

.....\..........\......\.init_design.end.rst

.....\..........\......\.opt_design.begin.rst

.....\..........\......\.opt_design.end.rst

.....\..........\......\.place_design.begin.rst

.....\..........\......\.place_design.end.rst

.....\..........\......\.route_design.begin.rst

.....\..........\......\.route_design.end.rst

.....\..........\......\.vivado.begin.rst

.....\..........\......\.vivado.end.rst

.....\..........\......\.write_bitstream.begin.rst

.....\..........\......\.write_bitstream.end.rst

.....\..........\......\ISEWrap.js

.....\..........\......\ISEWrap.sh

.....\..........\......\gen_run.xml

.....\..........\......\htr.txt

.....\..........\......\init_design.pb

.....\..........\......\opt_design.pb

.....\..........\......\place_design.pb

.....\..........\......\project.wdf

.....\..........\......\route_design.pb

.....\..........\......\rundef.js

.....\..........\......\runme.bat

.....\..........\......\runme.log

.....\..........\......\runme.sh

.....\..........\......\top.bit

.....\..........\......\top.tcl

.....\..........\......\top.vdi

.....\..........\......\top_3884.backup.vdi

.....\..........\......\top_6048.backup.vdi

.....\..........\......\top_clock_utilization_placed.rpt

.....\..........\......\top_control_sets_placed.rpt

.....\..........\......\top_drc_opted.rpt

.....\..........\......\top_drc_routed.pb

.....\..........\......\top_drc_routed.rpt

.....\..........\......\top_io_placed.rpt

.....\..........\......\top_opt.dcp

.....\..........\......\top_placed.dcp

.....\..........\......\top_power_routed.rpt

.....\..........\......\top_power_summary_routed.pb

.....\..........\......\top_route_status.pb

.....\..........\......\top_route_status.rpt

.....\..........\......\top_routed.dcp

.....\..........\......\top_timing_summary_routed.rpt

.....\..........\......\top_timing_summary_routed.rpx

.....\..........\......\top_utilization_placed.pb

.....\..........\......\top_utilization_placed.rpt

.....\..........\......\usage_statistics_webtalk.html

.....\..........\......\usage_statistics_webtalk.xml

.....\..........\......\vivado.jou

.....\..........\......\vivado.pb

.....\..........\......\vivado_3884.backup.jou

.....\..........\......\vivado_4300.backup.jou

.....\..........\......\vivado_5900.backup.jou

.....\..........\......\vivado_6048.backup.jou

.....\..........\......\vivado_6508.backup.jou

.....\..........\......\write_bitstream.pb

.....\..........\synth_1

.....\..........\.......\.Vivado_Synthesis.queue.rst

.....\..........\.......\.Xil

.....\..........\.......\.vivado.begin.rst

.....\..........\.......\.vivado.end.rst

.....\..........\.......\ISEWrap.js

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org