文件名称:AT510-BU-98000-r0p0-00rel0

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [C/C++] [源码]
  • 上传时间:
  • 2014-05-02
  • 文件大小:
  • 1.17mb
  • 下载次数:
  • 2次
  • 提 供 者:
  • z**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

CORTEX-M0处理器官方公开的源代码包!采用模糊网表生成,不可读但可综合可仿真可流片,还有testbench示例,很宝贵的资料!-CORTEX-M0 processor officially open source code package! Netlist generated by fuzzy, unreadable but comprehensive simulation can be taped, as well as testbench example, very valuable information!
(系统自动生成,下载前可以参看下载内容)

下载文件列表





AT510-BU-98000-r0p0-00rel0\ARM_Cortex-M0_DesignStart_ReleaseNote.pdf

..........................\AT510-BU-98000-r0p0-00rel0.lst

..........................\logical\cortexm0ds\tbench\cortexm0ds_tb.v

..........................\.......\..........\......\helloworld.c

..........................\.......\..........\......\INCA_libs\.ncv.lock

..........................\.......\..........\......\.........\cds.lib

..........................\.......\..........\......\.........\hdl.var

..........................\.......\..........\......\.........\irun.lnx86.08.20.nc\.ncrun.lock

..........................\.......\..........\......\.........\...................\.ncv.lock

..........................\.......\..........\......\.........\...................\bind.lst.lnx86

..........................\.......\..........\......\.........\...................\cds.lib

..........................\.......\..........\......\.........\...................\cdsrun.lib

..........................\.......\..........\......\.........\...................\files.ts

..........................\.......\..........\......\.........\...................\hdl.var

..........................\.......\..........\......\.........\...................\hdlrun.var

..........................\.......\..........\......\.........\...................\ncelab.args

..........................\.......\..........\......\.........\...................\ncelab.env

..........................\.......\..........\......\.........\...................\ncsim.args

..........................\.......\..........\......\.........\...................\ncsim.env

..........................\.......\..........\......\.........\...................\ncsim_restart.args

..........................\.......\..........\......\.........\...................\ncsim_restart.env

..........................\.......\..........\......\.........\...................\ncverilog.args

..........................\.......\..........\......\.........\...................\ncvlog.args

..........................\.......\..........\......\.........\...................\ncvlog.env

..........................\.......\..........\......\.........\...................\ncvlog.files

..........................\.......\..........\......\.........\...................\ncvlog.hrd

..........................\.......\..........\......\.........\snap.nc\.ncrun.lock

..........................\.......\..........\......\.........\.......\.ncv.lock

..........................\.......\..........\......\.........\.......\bind.lst.lnx86

..........................\.......\..........\......\.........\.......\cds.lib

..........................\.......\..........\......\.........\.......\cdsrun.lib

..........................\.......\..........\......\.........\.......\files.ts

..........................\.......\..........\......\.........\.......\hdl.var

..........................\.......\..........\......\.........\.......\hdlrun.var

..........................\.......\..........\......\.........\.......\ncelab.args

..........................\.......\..........\......\.........\.......\ncelab.env

..........................\.......\..........\......\.........\.......\ncsim.args

..........................\.......\..........\......\.........\.......\ncsim.env

..........................\.......\..........\......\.........\.......\ncsim_restart.args

..........................\.......\..........\......\.........\.......\ncsim_restart.env

..........................\.......\..........\......\.........\.......\ncverilog.args

..........................\.......\..........\......\.........\.......\ncvlog.args

..........................\.......\..........\......\.........\.......\ncvlog.env

..........................\.......\..........\......\.........\.......\ncvlog.files

..........................\.......\..........\......\.........\.......\ncvlog.hrd

..........................\.......\..........\......\.........\verilog\.cdsvmod

..........................\.......\..........\......\.........\.......\.inca.db.169.lnx86

..........................\.......\..........\......\.........\..

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org