文件名称:NAND_flash_verilog_vhdl

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [PDF]
  • 上传时间:
  • 2013-10-16
  • 文件大小:
  • 1.14mb
  • 下载次数:
  • 1次
  • 提 供 者:
  • cui***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

很好的NAND Flash 硬件驱动语言,支持VHDL和verilog 语言方便移植,如果有想用FPGA直接驱动NAND flash而又不知如何下手的朋友肯定喜欢。- NAND Flash Controller Reference Design             

===============================================================================

File List

1. RD1055/doc/rd1055.pdf                 --> NAND Flash Controller Reference Design document

  RD1055/doc/rd1055_readme.txt            --> Read me file (this file)  



2. RD1055/Project/nand_flash_cntl.lpf         --> preference file for the design

  RD1055/Project/nfcm_tb_vhd.udo_example       --> vital glitch removal example

  

3. /RD1055/simulation/verilog/rtl_verilog.do        --> verilog rtl simulation scr ipt

  /RD1055/simulation/verilog/timing_verilog.do      --> verilog timing simulation scr ipt

  /RD1055/simulation/vhdl/rtl_verilog.do         --> vhdl rtl simulation scr ipt

  /RD1055/simulation/vhdl/timing_verilog.do        --> vhdl timing simulation scr ipt  



4. RD1055/source/verilog/ACounter.v          --> sourc
(系统自动生成,下载前可以参看下载内容)

下载文件列表





NAND_flash_verilog_vhdl

.......................\doc

.......................\...\rd1055.pdf

.......................\...\rd1055_readme.txt

.......................\project

.......................\.......\nand_flash_cntl.lpf

.......................\.......\nfcm_tb_vhd.udo_example

.......................\simulation

.......................\..........\verilog

.......................\..........\.......\rtl_verilog.do

.......................\..........\.......\timing_verilog.do

.......................\..........\vhdl

.......................\..........\....\rtl_vhdl.do

.......................\..........\....\timing_vhdl.do

.......................\source

.......................\......\verilog

.......................\......\.......\ACounter.v

.......................\......\.......\ErrLoc.v

.......................\......\.......\H_gen.v

.......................\......\.......\ipexpress

.......................\......\.......\.........\xo

.......................\......\.......\.........\xo2

.......................\......\.......\.........\...\ebr_buffer.lpc

.......................\......\.......\.........\...\ebr_buffer.v

.......................\......\.......\.........\..\ebr_buffer.lpc

.......................\......\.......\.........\..\ebr_buffer.v

.......................\......\.......\.........\xp2

.......................\......\.......\.........\...\ebr_buffer.lpc

.......................\......\.......\.........\...\ebr_buffer.v

.......................\......\.......\MFSM.v

.......................\......\.......\nfcm_top.v

.......................\......\.......\nfcm_top.vhd

.......................\......\.......\TFSM.v

.......................\......\vhdl

.......................\......\....\ACounter.vhd

.......................\......\....\ErrLoc.vhd

.......................\......\....\H_gen.vhd

.......................\......\....\ipexpress

.......................\......\....\.........\xo

.......................\......\....\.........\xo2

.......................\......\....\.........\...\ebr_buffer.lpc

.......................\......\....\.........\...\ebr_buffer.vhd

.......................\......\....\.........\..\ebr_buffer.lpc

.......................\......\....\.........\..\ebr_buffer.vhd

.......................\......\....\.........\xp2

.......................\......\....\.........\...\ebr_buffer.lpc

.......................\......\....\.........\...\ebr_buffer.vhd

.......................\......\....\MFSM.vhd

.......................\......\....\nfcm_top.vhd

.......................\......\....\TFSM.vhd

.......................\testbench

.......................\.........\verilog

.......................\.........\.......\flash_interface.v

.......................\.........\.......\nfcm_tb.v

.......................\.........\vhdl

.......................\.........\....\flash_interface.vhd

.......................\.........\....\nfcm_tb.vhd

RD1055

......\doc

......\...\rd1055.pdf

......\...\rd1055_readme.txt

......\project

......\.......\nand_flash_cntl.lpf

......\.......\nfcm_tb_vhd.udo_example

......\simulation

......\..........\verilog

......\..........\.......\rtl_verilog.do

......\..........\.......\timing_verilog.do

......\..........\vhdl

......\..........\....\rtl_vhdl.do

......\..........\....\timing_vhdl.do

......\source

......\......\verilog

......\......\.......\ACounter.v

......\......\.......\ErrLoc.v

......\......\.......\H_gen.v

......\......\.......\ipexpress

......\......\.......\.........\xo

......\......\.......\.........\xo2

......\......\.......\.........\...\ebr_buffer.lpc

......\......\.......\.........\...\ebr_buffer.v

......\......\.......\.........\..\ebr_buffer.lpc

......\......\.......\.........\..\ebr_buffer.v

......\......\.......\.........\xp2

......\......\.......\.........\...\ebr_buffer.lpc

......\......\.......\.........\...\ebr_buffer.v

......\......\.......\MFSM.v

......\......\.......\nfcm_top.v

......\......\.......\nfcm_top.vhd

......\......\.......\TFSM.v

......\......\vhdl

......\......\....\ACounter.vhd

......\......\....\ErrLoc.vhd

......\......\....\H_gen.vhd

......\......\....\ipexpress

...

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org