文件名称:zhiliqiangdaqi

  • 所属分类:
  • 其他小程序
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2013-08-21
  • 文件大小:
  • 3kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • suy***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

智力抢答器设计

(1)可容纳4组参赛者的数字智力抢答器,每组设置一个抢答按键;

(2)电路具有一第一抢答信号的鉴别和锁存的功能。在主持人将系统复位并发出抢答指令后,若参加者按抢答键,则该组指示灯亮并用组别显示抢答者的组别。此时,电路具有自锁功能,使别组的抢答开关不起作用。

设置计分电路。每组在开始时预置成100分,抢答后主持人计分,答对一次加10分。

设置犯规电路。对提前抢答者和超时抢答级别鸣喇叭示警,并由级别显示电路显示出犯规的组别。



其他要求:

(1)晶振为12 MHz

(2)采用CPLD 器件,为ALTERA 的EPM7064SL-44

(3)采用数码管显示-Intellectual Responder design (1) can accommodate up to four groups of digital intelligence Responder contestants, each set a Responder button (2) circuit has a first answer signal identification and latch functions. The moderator will answer in a system reset and issue directives, if the participant press answer key, and use the group indicator light displays Responder' s constituency groups. At this point, the circuit has a self-locking function, so do not answer in the group switch does not work. Set scoring circuits. At the beginning of each preset to 100 points, after the moderator scoring answer, answer time plus 10 minutes. Set foul circuits. Right answer in advance and the honking warning timeout Responder level by level display circuit shows foul groups. Other requirements: (a) crystal is 12 MHz (2) using CPLD devices for the ALTERA EPM7064SL-44 (3) using the digital display
(系统自动生成,下载前可以参看下载内容)

下载文件列表





智能抢答器\焊接板程序\sel.v

..........\..........\int_div.v

..........\..........\scan_led.v

..........\焊接板程序

智能抢答器

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org