文件名称:uart_rx

  • 所属分类:
  • 其他嵌入式/单片机内容
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 521kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • w***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

actel A3P250 fpga用VERILOG HDL语言实现串口功能的源代码-actel A3P250 fpga with VERILOG HDL Serial functional language source code
(系统自动生成,下载前可以参看下载内容)

下载文件列表

uart_rx

.......\component

.......\constraint

.......\coreconsole

.......\designer

.......\........\impl1

.......\........\.....\ada00192-1.tmp

.......\........\.....\ada00192-3.tmp

.......\........\.....\ada00552-3.tmp

.......\........\.....\ada00552-5.tmp

.......\........\.....\ada01764-2.tmp

.......\........\.....\ada01764-4.tmp

.......\........\.....\assert.log

.......\........\.....\designer.log

.......\........\.....\rcvr.adb

.......\........\.....\rcvr.dtf

.......\........\.....\........\verify.log

.......\........\.....\rcvr.ide_des

.......\........\.....\rcvr.lok

.......\........\.....\rcvr.stp

.......\........\.....\rcvr.tcl

.......\........\.....\rcvr_1.ide_des

.......\........\.....\rcvr_1_fp

.......\........\.....\.........\$$FlashPro_FPBBALTLPT1.L$$

.......\........\.....\.........\rcvr.log

.......\........\.....\.........\rcvr.pro

.......\........\.....\rcvr_fp

.......\........\.....\.......\rcvr.pro

.......\........\.....\simulation

.......\........\.....\unsav.lok

.......\........\.....\unsav001.lok

.......\hdl

.......\...\rcvr.v

.......\...\waveperl.log

.......\phy_synthesis

.......\simulation

.......\..........\meminit.dat

.......\..........\modelsim.ini

.......\..........\modelsim.ini.sav

.......\smartgen

.......\........\smartgen.aws

.......\stimulus

.......\synthesis

.......\.........\.recordref

.......\.........\rcvr.areasrr

.......\.........\rcvr.edn

.......\.........\rcvr.map

.......\.........\rcvr.sdf

.......\.........\rcvr.srd

.......\.........\rcvr.srm

.......\.........\rcvr.srr

.......\.........\rcvr.srs

.......\.........\rcvr.tlg

.......\.........\rcvr_sdc.sdc

.......\.........\rcvr_syn.prj

.......\.........\stdout.log

.......\.........\syntmp

.......\.........\......\rcvr.msg

.......\.........\......\rcvr.plg

.......\.........\traplog.tlg

.......\uart_rx.prj

.......\uart_rx.prj.convert.7.3.bak

.......\viewdraw

.......\........\sch

.......\........\sym

.......\........\vf

.......\........\..\project.lst

.......\........\viewdraw.ini

.......\........\wir

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org