文件名称:VHDL代码2

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Text]
  • 上传时间:
  • 2008-12-09
  • 文件大小:
  • 46.65kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • chenxueyi8815
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用


(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : 经典设计VHDL源代码.rar 列表
经典设计VHDL源代码\vhdlcoder\ALARM_SET.VHD
经典设计VHDL源代码\vhdlcoder\ASCII_ROM.VHD
经典设计VHDL源代码\vhdlcoder\BCD.VHD
经典设计VHDL源代码\vhdlcoder\BCD_7SEG.VHD
经典设计VHDL源代码\vhdlcoder\BCD_ADD_SUB.VHD
经典设计VHDL源代码\vhdlcoder\BCD_MUX.VHD
经典设计VHDL源代码\vhdlcoder\BCD3.VHD
经典设计VHDL源代码\vhdlcoder\BCDADD.VHD
经典设计VHDL源代码\vhdlcoder\BIN2LED.VHD
经典设计VHDL源代码\vhdlcoder\CAL.VHD
经典设计VHDL源代码\vhdlcoder\CLK_GEN.VHD
经典设计VHDL源代码\vhdlcoder\CODE_TRAN.VHD
经典设计VHDL源代码\vhdlcoder\COLA.ER
经典设计VHDL源代码\vhdlcoder\COLA.VHD
经典设计VHDL源代码\vhdlcoder\COM_ENCODE.VHD
经典设计VHDL源代码\vhdlcoder\COM9S.VHD
经典设计VHDL源代码\vhdlcoder\COMCOUN.VHD
经典设计VHDL源代码\vhdlcoder\COUNT_DOWN.VHD
经典设计VHDL源代码\vhdlcoder\COUNT24.VHD
经典设计VHDL源代码\vhdlcoder\COUNT60.VHD
经典设计VHDL源代码\vhdlcoder\DEBOUNCE.VHD
经典设计VHDL源代码\vhdlcoder\DIV1024.VHD
经典设计VHDL源代码\vhdlcoder\DIVIDER.VHD
经典设计VHDL源代码\vhdlcoder\DOWNCNT.VHD
经典设计VHDL源代码\vhdlcoder\FADD.VHD
经典设计VHDL源代码\vhdlcoder\FADD4.VHD
经典设计VHDL源代码\vhdlcoder\HEX_FONT.VHD
经典设计VHDL源代码\vhdlcoder\I24BCD.VHD
经典设计VHDL源代码\vhdlcoder\I60BCD.VHD
经典设计VHDL源代码\vhdlcoder\KEY_SCAN.VHD
经典设计VHDL源代码\vhdlcoder\KEYBOARD.VHD
经典设计VHDL源代码\vhdlcoder\LEDSCAN.VHD
经典设计VHDL源代码\vhdlcoder\MOTORCTRL.VHD
经典设计VHDL源代码\vhdlcoder\MULTIPLIER.VHD
经典设计VHDL源代码\vhdlcoder\MUX2TO1.VHD
经典设计VHDL源代码\vhdlcoder\MY_PACKAGE.VHD
经典设计VHDL源代码\vhdlcoder\MY_PKG.ER
经典设计VHDL源代码\vhdlcoder\MY_PKG.VHD
经典设计VHDL源代码\vhdlcoder\NEGATIVE.VHD
经典设计VHDL源代码\vhdlcoder\REGNE.VHD
经典设计VHDL源代码\vhdlcoder\S95.LOG
经典设计VHDL源代码\vhdlcoder\SCAN_1DIG.VHD
经典设计VHDL源代码\vhdlcoder\SCAN_COUNT.VHD
经典设计VHDL源代码\vhdlcoder\SCAN_GEN.VHD
经典设计VHDL源代码\vhdlcoder\SCAN2.VHD
经典设计VHDL源代码\vhdlcoder\SCAN4.VHD
经典设计VHDL源代码\vhdlcoder\SCAN8.VHD
经典设计VHDL源代码\vhdlcoder\SCAN8_DIG.VHD
经典设计VHDL源代码\vhdlcoder\SCAN8_LINE.VHD
经典设计VHDL源代码\vhdlcoder\SETP_MOTOR.VHD
经典设计VHDL源代码\vhdlcoder\SHIFTLNE.VHD
经典设计VHDL源代码\vhdlcoder\SHIFTRNE.VHD
经典设计VHDL源代码\vhdlcoder\STOP_WATCH.VHD
经典设计VHDL源代码\vhdlcoder\TRAFFIC.VHD
经典设计VHDL源代码\vhdlcoder\TRAFFIC_FSM.VHD
经典设计VHDL源代码\vhdlcoder\TRAFFIC_LIB.VHD
经典设计VHDL源代码\vhdlcoder\TRAFFIC_MUX.VHD
经典设计VHDL源代码\vhdlcoder\VHDL.txt
经典设计VHDL源代码\vhdlcoder
经典设计VHDL源代码

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org