文件名称:adder8

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [HTML]
  • 上传时间:
  • 2017-11-03
  • 文件大小:
  • 1.57mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • y***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

基于vhdl的八位加法器,以两个四位加法器为基础(Eight bit adder of VHDL)
相关搜索: vhdl
adder8

(系统自动生成,下载前可以参看下载内容)

下载文件列表

ADDER4B

ADDER4B\ADDER4B.asm.rpt

ADDER4B\ADDER4B.bsf

ADDER4B\ADDER4B.done

ADDER4B\ADDER4B.fit.rpt

ADDER4B\ADDER4B.fit.smsg

ADDER4B\ADDER4B.fit.summary

ADDER4B\ADDER4B.flow.rpt

ADDER4B\ADDER4B.map.rpt

ADDER4B\ADDER4B.map.summary

ADDER4B\ADDER4B.pin

ADDER4B\ADDER4B.pof

ADDER4B\ADDER4B.qpf

ADDER4B\ADDER4B.qsf

ADDER4B\ADDER4B.qws

ADDER4B\ADDER4B.sof

ADDER4B\ADDER4B.tan.rpt

ADDER4B\ADDER4B.tan.summary

ADDER4B\ADDER4B.vhd

ADDER4B\db

ADDER4B\db\ADDER4B.asm.qmsg

ADDER4B\db\ADDER4B.asm_labs.ddb

ADDER4B\db\ADDER4B.cbx.xml

ADDER4B\db\ADDER4B.cmp.bpm

ADDER4B\db\ADDER4B.cmp.cdb

ADDER4B\db\ADDER4B.cmp.ecobp

ADDER4B\db\ADDER4B.cmp.hdb

ADDER4B\db\ADDER4B.cmp.kpt

ADDER4B\db\ADDER4B.cmp.logdb

ADDER4B\db\ADDER4B.cmp.rdb

ADDER4B\db\ADDER4B.cmp.tdb

ADDER4B\db\ADDER4B.cmp0.ddb

ADDER4B\db\ADDER4B.cmp_merge.kpt

ADDER4B\db\ADDER4B.db_info

ADDER4B\db\ADDER4B.eco.cdb

ADDER4B\db\ADDER4B.fit.qmsg

ADDER4B\db\ADDER4B.hier_info

ADDER4B\db\ADDER4B.hif

ADDER4B\db\ADDER4B.lpc.html

ADDER4B\db\ADDER4B.lpc.rdb

ADDER4B\db\ADDER4B.lpc.txt

ADDER4B\db\ADDER4B.map.bpm

ADDER4B\db\ADDER4B.map.cdb

ADDER4B\db\ADDER4B.map.ecobp

ADDER4B\db\ADDER4B.map.hdb

ADDER4B\db\ADDER4B.map.kpt

ADDER4B\db\ADDER4B.map.logdb

ADDER4B\db\ADDER4B.map.qmsg

ADDER4B\db\ADDER4B.map_bb.cdb

ADDER4B\db\ADDER4B.map_bb.hdb

ADDER4B\db\ADDER4B.map_bb.logdb

ADDER4B\db\ADDER4B.pre_map.cdb

ADDER4B\db\ADDER4B.pre_map.hdb

ADDER4B\db\ADDER4B.rpp.qmsg

ADDER4B\db\ADDER4B.rtlv.hdb

ADDER4B\db\ADDER4B.rtlv_sg.cdb

ADDER4B\db\ADDER4B.rtlv_sg_swap.cdb

ADDER4B\db\ADDER4B.sgate.rvd

ADDER4B\db\ADDER4B.sgate_sm.rvd

ADDER4B\db\ADDER4B.sgdiff.cdb

ADDER4B\db\ADDER4B.sgdiff.hdb

ADDER4B\db\ADDER4B.sld_design_entry.sci

ADDER4B\db\ADDER4B.sld_design_entry_dsc.sci

ADDER4B\db\ADDER4B.syn_hier_info

ADDER4B\db\ADDER4B.tan.qmsg

ADDER4B\db\ADDER4B.tis_db_list.ddb

ADDER4B\db\ADDER4B_global_asgn_op.abo

ADDER4B\db\prev_cmp_ADDER4B.asm.qmsg

ADDER4B\db\prev_cmp_ADDER4B.fit.qmsg

ADDER4B\db\prev_cmp_ADDER4B.map.qmsg

ADDER4B\db\prev_cmp_ADDER4B.qmsg

ADDER4B\db\prev_cmp_ADDER4B.tan.qmsg

ADDER4B\incremental_db

ADDER4B\incremental_db\compiled_partitions

ADDER4B\incremental_db\compiled_partitions\ADDER4B.root_partition.cmp.atm

ADDER4B\incremental_db\compiled_partitions\ADDER4B.root_partition.cmp.dfp

ADDER4B\incremental_db\compiled_partitions\ADDER4B.root_partition.cmp.hdbx

ADDER4B\incremental_db\compiled_partitions\ADDER4B.root_partition.cmp.kpt

ADDER4B\incremental_db\compiled_partitions\ADDER4B.root_partition.cmp.logdb

ADDER4B\incremental_db\compiled_partitions\ADDER4B.root_partition.cmp.rcf

ADDER4B\incremental_db\compiled_partitions\ADDER4B.root_partition.map.atm

ADDER4B\incremental_db\compiled_partitions\ADDER4B.root_partition.map.dpi

ADDER4B\incremental_db\compiled_partitions\ADDER4B.root_partition.map.hdbx

ADDER4B\incremental_db\compiled_partitions\ADDER4B.root_partition.map.kpt

ADDER4B\incremental_db\README

ADDER8B

ADDER8B\ADDER8B.asm.rpt

ADDER8B\ADDER8B.done

ADDER8B\ADDER8B.fit.rpt

ADDER8B\ADDER8B.fit.smsg

ADDER8B\ADDER8B.fit.summary

ADDER8B\ADDER8B.flow.rpt

ADDER8B\ADDER8B.map.rpt

ADDER8B\ADDER8B.map.summary

ADDER8B\ADDER8B.pin

ADDER8B\ADDER8B.qpf

ADDER8B\ADDER8B.qsf

ADDER8B\ADDER8B.qws

ADDER8B\ADDER8B.sof

ADDER8B\ADDER8B.sta.rpt

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org