文件名称:14_ethernet_test

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2016-10-28
  • 文件大小:
  • 7.04mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • acco****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

这是利用FPGA实现对以太网传输的控制。FPGA为Spartan 6 LX16,以太网芯片为RTL8211。千兆传输速率。语言为Verilog,但没找到这一选项,故选择了最接近的VHDL-This is achieved using the FPGA Ethernet transmission control. FPGA for the Spartan 6 LX16, Ethernet chip RTL8211. Gigabit transmission rate.
(系统自动生成,下载前可以参看下载内容)

下载文件列表





14_ethernet_test\11.wcfg

................\chipscope.cdc

................\chipscope_icon.asy

................\...............constraints\chipscope_icon.ucf

................\..........................\chipscope_icon.xdc

................\chipscope_icon.gise

................\chipscope_icon.ncf

................\chipscope_icon.ngc

................\chipscope_icon.ucf

................\chipscope_icon.v

................\chipscope_icon.veo

................\chipscope_icon.xco

................\chipscope_icon.xdc

................\chipscope_icon_flist.txt

................\chipscope_icon_readme.txt

................\chipscope_icon_xmdf.tcl

................\chipscope_ila.asy

................\chipscope_ila.cdc

................\...............onstraints\chipscope_ila.ucf

................\.........................\chipscope_ila.xdc

................\chipscope_ila.gise

................\chipscope_ila.ncf

................\chipscope_ila.ngc

................\chipscope_ila.ucf

................\chipscope_ila.v

................\chipscope_ila.veo

................\chipscope_ila.xco

................\chipscope_ila.xdc

................\chipscope_ila_flist.txt

................\chipscope_ila_readme.txt

................\chipscope_ila_xmdf.tcl

................\clock.cdc

................\coregen.cgc

................\coregen.cgp

................\ethernet.bgn

................\ethernet.bld

................\ethernet.cfi

................\ethernet.cmd_log

................\ethernet.drc

................\ethernet.lso

................\ethernet.mcs

................\ethernet.ncd

................\ethernet.ngc

................\ethernet.ngd

................\ethernet.ngr

................\ethernet.pad

................\ethernet.par

................\ethernet.pcf

................\ethernet.prj

................\ethernet.prm

................\ethernet.ptwx

................\ethernet.stx

................\ethernet.syr

................\ethernet.twr

................\ethernet.twx

................\ethernet.unroutes

................\ethernet.ut

................\ethernet.xpi

................\ethernet.xst

................\ethernet_bitgen.xwbt

................\ethernet_cs.blc

................\ethernet_cs.ngc

................\ethernet_envsettings.html

................\ethernet_guide.ncd

................\ethernet_map.map

................\ethernet_map.mrp

................\ethernet_map.ncd

................\ethernet_map.ngm

................\ethernet_map.xrpt

................\ethernet_ngdbuild.xrpt

................\ethernet_pad.csv

................\ethernet_pad.txt

................\ethernet_par.xrpt

................\ethernet_summary.html

................\ethernet_summary.xml

................\ethernet_test.bgn

................\ethernet_test.bit

................\ethernet_test.bld

................\ethernet_test.cfi

................\ethernet_test.cmd_log

................\ethernet_test.cpj

................\ethernet_test.drc

................\ethernet_test.gise

................\ethernet_test.lso

................\ethernet_test.ncd

................\ethernet_test.ngc

................\ethernet_test.ngd

................\ethernet_test.ngr

................\ethernet_test.pad

................\ethernet_test.par

................\ethernet_test.pcf

................\ethernet_test.prj

................\ethernet_test.prm

................\ethernet_test.ptwx

................\ethernet_test.stx

................\ethernet_test.syr

................\ethernet_test.twr

................\ethernet_test.twx

................\ethernet_test.unroutes

................\ethernet_test.ut

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org